AVS 69 Session AP+EM+PS+TF-TuM: Area Selective Processing and Patterning

Tuesday, November 7, 2023 8:00 AM in Room A107-109

Tuesday Morning

Session Abstract Book
(314KB, Nov 2, 2023)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
8:00 AM AP+EM+PS+TF-TuM-1 Area-Selective Deposition in Nanoscale Patterns
Annelies Delabie (Imec Belgium, and KU Leuven Belgium); Jan-Willem Clerix (IMEC Belgium); Kaat Van Dongen (IMEC, Belgium); Jyoti Sinha (IMEC Belgium); Laura Nyns (IMEC, Belgium); Rachel Nye (LAM Research); Gregory Parsons (North Carolina State University); Johan Swerts (IMEC Belgium)

Manufacturing nano-electronic devices becomes more and more complex as the device dimensions reach the nanoscale and a wide range of new materials is being implemented to achieve high device performance. Additional complexity comes from the use of three dimensional (3D) structures to reduce the active footprint. Area-Selective Deposition (ASD) provides a promising avenue to assist and/or even simplify device manufacturing processes. ASD is a technique to deposit material only on a pre-defined area of a patterned surface (the growth area), while no deposition is intended on other areas of the same surface (the non-growth area). As such, ASD can be used to replicate patterns on 3D substrates and to (partly) fill narrow trenches or holes from the bottom up. ASD can be achieved by tuning the adsorption and diffusion kinetics in atomic layer deposition (ALD) and chemical vapor deposition (CVD) processes. Insight in the chemical and physical processes is essential to enable rational design of new ASD processes for nano-electronic device manufacturing for advanced technology nodes.

This presentation will discuss the growth mechanisms during ASD on substrates that contain nanoscale patterns, where the geometry of the nanopatterns can affect the growth behavior, selectivity and uniformity. An aminosilane small molecule inhibitor can enable ASD on a wide range of materials with SiO2 as the non-growth surface [1]. The selectivity of TiO2 ALD relies mainly on adsorption. Selectivity loss during TiO2ALD occurs via a nucleation site generation mechanism: small TiO2 nanoparticles are continuously generated during ALD by slow, unintentional adsorption on the passivated non-growth surface area [2]. ASD super cycles consisting of inhibitor adsorption, TiO2 ALD and etch effectively improve the selectivity, but may compromise the height uniformity in nanoscale patterns. The selectivity of Ru and Ge2Sb2Te5 ALD relies on a complex interplay of adsorption, diffusion and aggregation. We reveal a pattern-dependent selectivity for Ru ALD, which is explained by aggregation of Ru adspecies at the pattern edges [3]. We conclude that the selectivity and uniformity of ALD processes can change when pattern dimensions reach the nanoscale.

[1] K. Van Dongen et al, J. Vac. Sci. Technol. A 2023, 41, 032404.

[2] R. A. Nye et al, Appl. Phys. Lett. 2022, 121, 082102.

[3] J.-W. J. Clerix et al, Appl. Surf. Sci. 2023,626, 157222.

8:40 AM AP+EM+PS+TF-TuM-3 N-Heterocyclic Carbenes as Small Molecule Inhibitors in AS-ALD
Cathleen Crudden (Queen's University, Canada)

A unique carbon-based SMI, called an N-heterocyclic carbene (NHC), has been developed as a small molecule inhibitor using carbon as the heteroatom. NHCs have been used in organometallic and catalysis chemistry for decades, where they are renowned for their ability to form strong bonds to metal surfaces. We have developed a suite of organic SMIs with high volatility and thermal stability enabling deposition in an ALD tool. We demonstrated strong binding of the SMI to Ru, Co, Mo and Cu and selectivity for binding to metal surfaces in the presence of insulators. These results are informed by surface science studies including microscopy and spectroscopy.

9:20 AM AP+EM+PS+TF-TuM-5 Unraveling Precursor Blocking Mechanisms in Area-Selective Atomic Layer Deposition Using Small Molecule Inhibitors
Olaf Bolkenbaas, Marc Merkx, Pengmei Yu (eindhoven University of Technology); Tania Sandoval (Universidad Tecnica Federico Santa Maria); Erwin Kessels, Adriaan Mackus (Eindhoven University of Technology)

Area-selective atomic layer deposition (ALD) has garnered significant attention as a potential technique for enabling the further miniaturization of semiconductor devices. One method for achieving area-selective ALD is through the use of small molecule inhibitors (SMIs) that selectively block deposition on certain materials. Previous research has indicated that precursor blocking by SMIs involves two components: the chemical removal of reactive surface sites and the physical blocking of the surface, also referred to as chemical passivation and steric shielding respectively [1]. However, it is difficult to differentiate between these two factors as they occur simultaneously. In this work we attempt to unravel the steric shielding and the chemical passivation contributions by the SMI acetylacetone (Hacac) with the use of reflection adsorption infra-red spectroscopy (RAIRS) on dehydroxylated Al2O3 surfaces obtained through annealing.

When comparing Hacac adsorption on an as-prepared and an annealed Al2O3 surface using RAIRS, a lower amount of Hacac adsorbates was observed on the annealed surface. Furthermore, a higher fraction of the Hacac adsorbates was present in the more strongly bonded chelate configuration. This difference in the distribution of the binding configurations demonstrates that the density of surface sites affects the SMI adsorption behavior. We expect that this different adsorption behavior is caused by a lower amount of steric hindrance between the SMIs on the annealed Al2O3 surface. Furthermore, the increase in the amount of adsorbates in the chelate configuration will result in a higher contribution of chemical passivation on the dehydroxylated surface, since only the adsorbates in the chelate configuration chemically passivate the surface [2]. From this we can conclude that the removal of surface sites can be used to obtain a better understanding of the two precursor blocking mechanisms. This better understanding will create opportunities for the development of new area-selective ALD strategies involving the removal of reactive surface sites before the functionalization with SMIs to improve selectivity.

[1] Merkx, et al., Chem. Mater. 32, 3335–3345 (2020).

[2] Mameli et al., ACS Nano 11, 9303–9311 (2017).

View Supplemental Document (pdf)
9:40 AM AP+EM+PS+TF-TuM-6 Topographically-Selective Deposition Using Amorphous Carbon as Inhibition Layer
Thijs Janssen, Marc Merkx, Wilhelmus Kessels, Adriaan Mackus (Eindhoven University of Technology, The Netherlands)

To accommodate the increasing complexity of device architectures in nanoelectronics, new nanoscale processing techniques are required. Selective deposition techniques have been developed in recent years to enable bottom-up and self-aligned processing12. While traditional area-selective deposition distinguishes between areas depending on their chemical character, topographically-selective deposition (TSD) distinguishes between areas based on their orientation within a 3D structure2,3. Such TSD approaches offer new fabrication opportunities, for example when the growth and non-growth areas possess similar material properties, or when too many different materials are present within the device structure. Previously reported TSD methods have been demonstrated only for specific materials.

In our work, we develop a versatile TSD strategy that is potentially suitable for a broad range of materials. Our approach utilizes a pulsed Ar/CH4 plasma to selectively apply an amorphous carbon (aC) inhibition layer on horizontally-oriented surfaces by relying on the directional ions from the plasma. The vapor-phase selective deposition of aC is integrated together with existing ALD processes and plasma treatments into a TSD supercycle recipe.

The highly inert surface of aC lacks suitable absorption sites for ALD precursors and co-reactants, making it an effective inhibition layer. It was found that only the horizontally-oriented surfaces are covered by the aC layer, thus subsequent ALD of target materials proceeds exclusively on vertically-oriented surfaces.

Successful ALD inhibition on the aC surface is established for several different target materials such as TiO2 using TDMAT and H2O, Nb2O5 using TBTDEN and H2O, and NiOx using Ni(BuAMD)2 and H2O. In particular 90% selectivity was maintained for 35 cycles TiO2 deposition (1.09 ± 0.01 nm selective growth), 70 cycles Nb2O5 deposition (4.38 ± 0.02 nm) and 40 cycles of NiOx deposition (1.28 ± 0.01 nm). It is demonstrated for NiOx that the supercycle can be repeated, which effectively resets the nucleation delay, such that a thicker film (nominally ~5 nm after 4 supercycles) can be deposited selectively.

  1. Mackus, A. J. M., Merkx, M. J. M. & Kessels, W. M. M. From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity. Chem. Mater.31, 2–12 (2019).
  2. Parsons, G. N. & Clark, R. D. Area-Selective Deposition: Fundamentals, Applications, and Future Outlook. Chem. Mater.32, 4920–4953 (2020).
  3. Chaker, A. et al. Topographically selective deposition. Appl. Phys. Lett.114, (2019).
View Supplemental Document (pdf)
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM AP+EM+PS+TF-TuM-10 A ReaxFF Study for Hacac Interaction on Al2O3 Surface in Area-Selective ALD
Naoya Uene (Tohoku University); Ilker Tezsevin, Wilhelmus M. M. Kessels, Adriaan J. M. Mackus (Eindhoven University of Technology); Adri van Duin (Pennsylvania State University); Takashi Tokumasu (Tohoku University)

An area-selective ALD process of SiO2 was developed comprising acetylacetone inhibitor (Hacac), bis(diethylamino)silane precursor (BDEAS), and O2 plasma reactant pulses. Hacac inhibitors lead to delayed SiO2 growth on the Al2O3 surface for about 15 ALD cycles, after which the selectivity is lost. Two chemisorption configurations of Hacac inhibitors on Al2O3 surfaces have been reported: monodentate and chelate configurations. (Merkx et al. 2020) Density functional theory (DFT) calculations have shown that the monodentate configuration is relatively reactive with incoming BDEAS, causing the loss of selectivity due to precursor-inhibitor reactions. Therefore, exploration of the relative densities of the chelate/monodentate configurations on the surface is crucial for the understanding of the selectivity loss mechanism. We aim to understand the reaction mechanisms of Hacac inhibitor adsorption on Al2O3 surfaces at the atomic scale.

Up to now, the investigation of the adsorption of inhibitor molecules has been studied via DFT calculations. Thereactive force-field molecular dynamics (ReaxFF MD), which can simulate chemical reactions and physical dynamics at the atomic scale, has been used for gas-surface systems. (van Duin et al. 2001) We performed ReaxFF MD simulations to consider the chemical reactions of Hacac inhibitor molecules with dislocation effects on the surface. An initial force field has been developed for the Hacac interaction on Al2O3 surface based on the two existing force fields: Li/Si/Al/O force field for Al2O3 structure and protein force field for carbohydrate interactions. (Kim et al. 2016; Monti et al. 2013) The initial force field is trained for Hacac geometry, and their reaction on an OH-terminated Al2O3 surface is also modeled.

We performed ReaxFF MD simulations using the developed force field. The simulation consists of three steps. First, the Al2O3 surface is pre-thermally relaxed. Then, the Hacac inhibitor is supplied on the relaxed surface, followed by post-thermal relaxation of the Hacac-adsorbed surface. We first confirmed the temperature stability of the Al2O3 surface with different temperatures. Our force field can control the temperature of the Al2O3 surface ranging from 300 K to 1500 K. Next, sequential adsorption of 20 Hacac inhibitor molecules was simulated on the temperature-controlled Al2O3 surface, as shown in the supplemental document. The findings from our ReaxFF simulations provide in-depth insights into the mechanisms of Hacac adsorption and saturation on the surface. These insights will be used for the investigation of precursor blocking and blocking selectivity loss in our future work. View Supplemental Document (pdf)
11:20 AM AP+EM+PS+TF-TuM-11 Enhancement of TMSDMA Passivation on SiO2 by Surface Fluorination
Anthony Valenti, Christophe Vallée, Carl Ventrice (SUNY College of Nanoscale Science and Engineering); Kandabara Tapily, Kai-Hung Yu, Steve Consiglio, Cory Wajda, Robert Clark, Gert Leusink (TEL Technology Center, America, LLC, USA)
With the ever-shrinking scale of semiconductor devices, area-selective atomic layer deposition (AS-ALD), a bottom-up and self-aligned patterning process with atomic-scale control has been in development in order to meet the demands of industry. This technique is typically conducted by promoting growth on specific surface termination types, while inhibiting growth on the other surface types of the substrate via selective chemisorption of molecules that are inert to the deposition process. With its affinity for chemisorbing to hydroxylated oxide surfaces, specifically SiO2, but not on Si or non-oxidized metal surfaces, N-(trimethylsilyl)dimethylamine (TMSDMA) has been of recent interest for its use as a small molecule inhibitor (SMI) for area selective deposition (ASD). Upon interaction with a surface hydroxyl group, the TMSDMA molecule dissociates, resulting in a trimethylsilyl group bonded to the chemisorbed oxygen atom of the hydroxyl group. Although TMSDMA-passivated SiO2 typically remains inert over several ALD cycles, nucleation of the growth precursor can eventually occur. This may be due to hydroxyl groups on the surface that did not interact with TMSDMA molecules and/or non-hydroxylated sites that were not passivated by trimethylsilyl groups. For instance, surface siloxane bridges do not dissociate TMSDMA and can act as nucleation sites for the ALD growth precursor. In order to enhance the passivation of SiO2 surfaces, the use of co-passivants has been explored. In particular, a remote NF3 plasma has been studied as a means for forming a co-inhibitor. Dosing before or after TMSDMA treatment has been investigated. The deposition of the small molecules were carried out on 10 Å SiO2/Si(100) substrates. Water contact angle measurements were taken to determine relative surface passivation of each sample. Angle-resolved X-ray photoelectron spectroscopy and attenuated total reflection/Fourier transform infrared spectroscopy were performed in order to characterize the chemical state of each surface. Our results indicate that exposure of the substrate to the NF3 plasma after passivation with TMSDMA, results in damage to the passivating layer. However, exposure of the surface to the NF3 plasma before TMSDMA exposure maintains the passivation of the SiO2 surface. In addition, temperature programmed desorption (TPD) measurements are being conducted to assess the relative coverage of the inhibiting film on each sample and its thermal stability.
11:40 AM AP+EM+PS+TF-TuM-12 A Study of Elucidation and Improvement of TiO2 Selectivity by First-Principles Based Thermodynamic Simulation
Yukio Kaneda (Sony Semiconductor Solutions Corporation); Esteban A Marques, Silvia Armini, Annelies Delabie, Michel van Setten, Geoffrey Pourtois (IMEC)

Area-selective deposition (ASD) enables the deposition of materials in a targeted area, typically a pre-patterned surface, while preventing the growth on adjacent surfaces.[1] The technique is appealing for both academia and industry as it offers a vehicle to simplify material developments in nanoelectronics. Consequently, numerous efforts have been dedicated to investigate the factors driving the selectivity mechanisms and to identify optimal process deposition conditions, including surface treatments, that enable highly selective processes.

The “selectivity” dimension results from the identification of the right combination of precursors (including co-agents), surface treatments, and reactor operating conditions. This is typically a complex and laborious process that requires many systematic and tightly controlled experiments. As a result, the development of highly selective ASD processes is often a slow and challenging task where any form of guidance provided by modeling insights can be precious.

In this context, we studied, by combining thermodynamic considerations and first principle simulations, the reactivities of complex surface chemical reaction networks and the factors impacting on selectivity. In this talk, we will discuss the case of the ASD of TiO2 on SiO2 substrates terminated with either “reactive” (-OH) or “passivated” alkyl-silyl groups. First, we will first briefly discuss the validation of our approach by comparing our model prediction with experimental measurements for the case of the ALD of TiO2 using the precursors TiCl4 and Ti(OMe)4 and then report the insights gained for the identification of optimum Ti precursor and inhibitor for the ASD of TiO2. We will then extend the discussion to the case of the ASD supercycles of TiO2, where the interaction of some Ti precursors (or of their ligands) leads to the degradation of the surface “passivation” and then requires restoring the surface by injecting of alkyl-silyl functional groups. We will review the strategies that worked with their drawbacks.

[1] Gregory N. Parsons and Robert D. Clark, Chem. Mater. 2020, 32, 12, 4920–4953

[2] Job Soethoudt, et al.,The Journal of Physical Chemistry C2020124 (13), 7163-7173

[3] Janne-Petteri Niemelä et al.,Semicond. Sci. Technol.2017 9 (32), 093005

Session Abstract Book
(314KB, Nov 2, 2023)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule