AVS 69 Session AP+PS+TF-MoM: Thermal Atomic Layer Etching and Deposition

Monday, November 6, 2023 8:20 AM in Room A107-109

Monday Morning

Session Abstract Book
(324KB, Nov 2, 2023)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
8:20 AM AP+PS+TF-MoM-1 Atomic Layer Etching of Aluminum and Aluminum Oxide for Optical Applications
John Hennessy, Robin Rodríguez, April Jewell (Jet Propulsion Laboratory)

Thermal atomic layer etching can be utilized for the surface preparation of aluminum in order to improve its optical performance at ultraviolet wavelengths. In this work we report on the use of trimethyaluminum and anhydrous hydrogen flyoride to remove the native oxide of aluminum prior to encapsulation with fluoride dielectric materials. This ALE/ALD process is used for the fabrication of reflective coatings and bandpass filters operating at wavelengths shorter than 200 nm. The etch rate of aluminum oxide is observed to be dependent on chamber conditioning with a significant enhancement in etch rate observed when the cyclic etching is performed in the presence of alkali halide materials. This enhancement can reduce the temperature threshold where etching dominates the reaction cycle over deposition.

The reduction of the overall processing temperature can enhance the compatibility of the full coating process with some temperature-sensitive substrates, and limit the amount of etch damage experienced by aluminum surfaces. Etching into the aluminum surface is generally observed to result in non-conformal etching which greatly increases the surface roughness of films and degrades the optical performance of resulting structures. Reducing the etch temperature can mitigate this effect by increasing the selectivity of the native oxide removal over the underlying metal. Optimization of these processes may provide insight into achieving conformal ALE of aluminum surfaces. The extension of these atomic layer processing methods towards the fabrication of meter-class mirror coatings is also discussed in the context of future large UV space observatories for NASA astrophysics applications.

9:00 AM AP+PS+TF-MoM-3 Thermal Etching of First Row Transition Metal Oxides using Acetylacetone and O3: Pathway for Atomic Layer Etching
Jonathan Partridge, Steven George (University of Colorado at Boulder)

Etching metal oxides with halogen-free methods is important during processing to avoid corrosion.Acetylacetone (Hacac) is an organic hydrocarbon.Hacac can supply acac ligands that can form volatile metal complexes with most transition metals.Consequently, Hacac can spontaneously etch metal oxides to form M(acac)x and H2O.One difficulty is that Hacac can also decompose on the metal oxide surface and block the spontaneous etching.However, this surface poisoning also leads to a self-limiting reaction. The O3 exposure can then remove the carbonaceous decomposition species and produce a pathway for atomic layer etching.

Thermal etching of first row metal oxides was demonstrated using Hacac and O3 at pressures of 2.5 Torr at 250 °C.A quadrupole mass spectrometer (QMS) reactor with molecular beam expansion and line-of-sight to the ionizer was employed to detect etch species with high sensitivity.Metal oxide nanopowders were used to maximize the surface area and signal intensity of the etch products. The reactant sequence used five sequential Hacac exposures, one O3 exposure, and one final Hacac exposure to check for etch product enhancement after O3 exposure.Etching was monitored by the production of M(acac)x etch products.

M(acac)x etch products were observed for Sc2O3, V2O5 and VO2, Cr2O3, Mn2O3 and MnO, Fe2O3 and Fe3O4, Co3O4 and CoO, CuO and Cu2O, and ZnO. No etching was observed for TiO2, MnO2, and NiO. The metal oxides that etched either displayed (1) spontaneous etching by Hacac with no self-limiting behavior or (2) etching that limited itself versus Hacac exposure.The metal oxides that were spontaneously etched by Hacac were Mn2O3 and MnO, Co3O4 and CoO, and ZnO.The metal oxides that displayed self-limiting behavior were Sc2O3, V2O5 and VO2, Cr2O3, Fe2O3 and Fe3O4, and CuO and Cu2O.ALE processes for these metal oxides that displayed self-limiting reactions are possible using Hacac and O3.

A comparison between the M(acac)x etch products and the metal oxide also provided information about oxidation state changes during etching.The x in M(acac)x is both the number of acac ligands and the oxidation state of the M metal center.Sc2O3, Cr2O3, MnO, Fe2O3, CoO, CuO, and ZnO all formed M(acac)x etch products with the same oxidation state as the metal oxide.In contrast, the other metal oxides all displayed evidence for reduction during etching.This reduction may occur by oxygen loss during the combustion of Hacac.

View Supplemental Document (pdf)
9:20 AM AP+PS+TF-MoM-4 Selectivity between Silicon-Based Materials for Thermal Atomic Layer Etching and Spontaneous Etching
Marcel Junige, Steven M. George (University of Colorado at Boulder)

Sub-10-nm technology nodes must overcome the limits of photolithography. This requires selectivity between various Si-based materials for thermal atomic layer etching (ALE) and spontaneous etching. This work examined selectivity between silicon dioxide (SiO2) and silicon nitride (SiNx) for thermal ALE using trimethylaluminum (TMA) and hydrogen fluoride (HF), as well as for spontaneous etching using HF alone, at 275℃. Distinct etch rates between SiO2 and SiNx achieved inherent selectivity.

Experiments were conducted in a hot-wall, viscous-flow vacuum reactor with good control over the pressure during static reactant dosing to ensure reproducibility. In situ spectroscopic ellipsometry (iSE) was utilized to study etch-per-cycle (EPC), synergy, and selectivity characteristics. Sodium bifluoride (NaHF2) was tested as an alternative HF source. NaHF2 is a solid salt with negligible HF vapor pressure at room temperature, making NaHF2 safer to handle than HF-pyridine. NaHF2 delivered HF pressures up to 15 Torr when heated to 150℃ without releasing sodium. During thermal ALE of alumina (Al2O3), NaHF2 exhibited diffusion-limited fluorination and EPC characteristics comparable with HF-pyridine.

For thermal ALE of SiO2 alternating TMA and HF, the EPC and synergy were –0.2 Å and 88%, indicating minor spontaneous etching by HF alone. This moderate synergy for SiO2 thermal ALE improved to 95% by ensuring water-free conditions during fluorination. On the other hand, the EPC for SiNx thermal ALE was –1.1 Å. The EPC for SiNx was expected to be much lower than for SiO2 because no oxygen reactant was employed to oxidize SiNx. However, iSE experiments revealed that repeated exposures of HF alone spontaneously etched SiNx. Anhydrous HF vapor might form F species at the surface that have been attributed to dominate SiNx etching. Spontaneous etching using static exposures of 45 s at 3 Torr HF alone obtained a high selectivity of ~50:1 for SiNx removal over SiO2 retention.

For thermal ALE alternating TMA and HF in co-dose with ammonia (NH3), the selectivity inverted to ~9,000:1 for SiO2 over SiNx. HF+NH3 co-dosing led to rapid spontaneous etching of SiO2. NH3, similar to water, might facilitate the dissociation of HF into H+ and F, where the increased F concentration immediately produces HF2 species. HF2 species have been attributed to dominate SiO2 etching.

In conclusion, this work demonstrated conditions for inherently selective gas-phase etching of either SiO2 or SiNx.

9:40 AM AP+PS+TF-MoM-5 Thermal Atomic Layer Etching of SnO2 by Fluorination and Ligand-Exchange Using HF and Al(CH3)3
Chen Li, Jonathan Partridge, Steven George (University of Colorado Boulder)

Thermal atomic layer etching (ALE) can be achieved with sequential, self-limiting surface reactions. One mechanism for thermal ALE is based on fluorination and ligand-exchange reactions. For metal oxide ALE, fluorination converts the metal oxide to a metal fluoride. The ligand-exchange reaction then removes the metal fluoride by forming volatile products. Previous studies have successfully applied this thermal ALE strategy for Al2O3, HfO2, and ZrO2 ALE. However, no previous investigations have explored the thermal ALE of SnO2 films.

This study demonstrated the thermal ALE of SnO2 thin films using sequential, self-limiting thermal reactions with hydrogen fluoride (HF) and trimethylaluminum (Al(CH3)3, TMA) as the reactants. The initial SnO2 films were grown by atomic layer deposition (ALD) using tetrakis(dimethylamino) tin and H2O2. The thermal SnO2 ALE process was then studied using various techniques including quartz crystal microbalance (QCM), spectroscopic ellipsometry (SE), and quadrupole mass spectrometry (QMS).

In situ QCM experiments monitored SnO2 ALE at temperatures from 250 to 300 ℃. The SnO2 etching was linear versus the number of HF and TMA reaction cycles. The QCM studies also showed that the sequential HF and TMA reactions were self-limiting versus reactant exposures. The SnO2 etching rates increased at higher temperatures. The QCM analysis measured mass change per cycle (MCPC) values that varied from −44.32 ng/(cm2 cycle) at 250 °C to −123.5 ng/(cm2 cycle) at 300 °C. These MCPCs correspond to SnO2 etch rates from 0.64 Å/cycle at 250 °C to 1.78 Å/cycle at 300 °C.

SE measurements confirmed the linear removal of SnO2 and the etching rates. QMS analysis also revealed the volatile etching products during the sequential HF and TMA exposures on SnO2 at 300 ℃. These QMS investigations observed Sn(CH3)3+, indicating Sn(CH3)4 as the etch product during TMA exposures. AlxFy(CH3)z dimer and trimer species were identified as the ligand-exchange products. QMS analysis during multiple sequential TMA doses before HF/TMA cycling also revealed that fluorination was necessary for Sn(CH3)4 etch product evolution. This observation indicated that TMA does not convert SnO2 to Al2O3. The results indicate that thermal SnO2 ALE using sequential HF and TMA exposures occurs by fluorination and ligand-exchange reactions.

View Supplemental Document (pdf)
10:20 AM BREAK
10:40 AM AP+PS+TF-MoM-8 Reactivity and Volatility as Key Metrics for Classifying the Substrate Selectivity of Ligands in Atomic Level Processing
Hadi Abroshan, Seok Lim, Anand Chandrasekaran, Simon Elliott, H. Shaun Kwak, M.D. Halls (Schrödinger, Inc.)
One of the main challenges in the area-selective deposition or etch for semiconductor processing is finding a single reagent that undergoes different chemistry on different substrates. The reagent may be an organometallic complex containing a particular ligand or may be the protonated version of that ligand. In this work we propose that examining just two properties of the organometallic complex across a series of metal cations is sufficient to give an indication of the area-selectivity that can be achieved with reagents based on the particular ligand chemistry.

The first property is reactivity towards the hydrolysis reaction, which gives information about oxide formation versus surface passivation or etching, and the second property is volatility of the organometallic reagent or etch by-product. Figure 1a shows the four limiting cases of the combination of these two properties. Using quantum chemical and machine learning methods to predict the properties, such reactivity-volatility maps can be plotted quickly for a wide range of ligands and metal-containing substrates. We validate our results on the chloro ligand (Figure 1b), thd, RCp and NR2 against area-selective experiments, including those using HCl as etchant [1], ruthenocene and ferrocene [2] as metal sources and β-diketonates as inhibitors [3]. While approximate, this approach provides a starting point for designing and understanding atomic-level processes that are area-selective with respect to a wide variety of substrates.

[1] M.F.J. Vos et al., Chem. Mater. 31, 3878 (2019).
[2] H. Nadhom et al., J. Phys. Chem. Lett. 12, 4130 (2021).
[3] A. Mameli et al., ACS Nano 11, 9303 (2017).
View Supplemental Document (pdf)
11:00 AM AP+PS+TF-MoM-9 Etching of Silicon Nitride Using Vapor-Phase HF Exposures at Various Temperatures: Role of Ammonium Hexafluorosilicate Salt
Vahid Ghodsi, Steven M. George (University of Colorado Boulder)

The etching of silicon nitride (SiNx) was explored using vapor-phase HF exposures at various temperatures. The investigations were performed using in situ quadrupole mass spectrometry (QMS) and ex situ attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy to detect the volatile and non-volatile etch products, respectively. These QMS and ATR-FTIR studies provide valuable understanding of the SiNx atomic layer etching (ALE) process employing hydrofluorocarbon plasma to form (NH4)2SiF6 salt at low temperatures and then thermal annealing at higher temperatures to desorb the salt [N. Miyoshi et al., Jpn. J. Appl. Phys. 56, 06HB01 (2017)].

At low temperatures, T≤60oC, QMS detected the evolution of SiF4 from HF exposure at 0.5 Torr on SiNx. SiF4 formed concurrently with the formation of a (NH4)2SiF6 salt layer on the SiNx surface according to: Si3N4 + 16HF(g) —> 2(NH4)2SiF6 + SiF4(g). To verify the presence of the salt, the temperature could be ramped up to 200oC in the absence of HF exposure. During this temperature ramp, QMS detected SiF4 at higher temperatures T≥80oC corresponding to the thermal decomposition of the (NH4)2SiF6 salt according to: (NH4)2SiF6 —> 2NH3(g) + 2HF(g) + SiF4(g).

When the HF exposure was performed at higher temperatures T≥120oC, SiF4 was again observed as an etch product. However, no secondary rise of SiF4 was detected by QMS during the temperature ramp to 200oC in the absence of HF exposure. This behavior indicated that the (NH4)2SiF6 salt did not form on the surface at temperatures T≥120oC. The spontaneous etching of SiNx with no salt on the SiNx surface is possible at these higher temperatures. ATR-FTIR studies corroborated the salt formation at lower temperatures and the salt decomposition at higher temperatures.

To demonstrate that HF exposures could achieve high SiNx etch rates without salt formation, experiments were conducted at T≥140oC with higher HF pressures. A four-fold increase in HF pressure to 2.0 Torr led to a ~five-fold increase in SiF4 signal intensity measured by QMS. At these higher temperatures T≥140oC, SiNx etching can proceed with no inhibition from the salt.
11:20 AM AP+PS+TF-MoM-10 Crystal Phase Transformations During Thermal Atomic Layer Etching of Hafnium–Zirconium Oxide (HZO) Using Hydrogen Fluoride and Dimethylaluminum Chloride
Aziz Abdulagatov, Jonathan Partridge (University of Colorado at Boulder); Matthew Surman (ASM Microchemistry Ltd., Finland); Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of Hf0.5Zr0.5O2 (HZO) was previously demonstrated using hydrogen fluoride (HF) and dimethylaluminum chloride (DMAC) [1]. This current work focused on crystallographic transformations of HZO during ALE. Grazing incidence x-ray diffraction (GIXRD) analysis of initial 10 nm thick HZO film on 20 nm thick TiN on Si revealed orthorhombic (o-phase), tetragonal (t-phase), and monoclinic phases (m-phase). Ex situ spectroscopic ellipsometry and X-ray reflectivity (XRR) measurements showed that sequential exposures of HF and DMAC at 250 oC resulted in a linear decrease in film thickness with an HZO etch rate of ~0.45 Å/cycle.

GIXRD studies observed that the peaks associated with the o- and t-phases decreased faster in intensity than the m-phase peaks. As the number of ALE cycles increased, only the m-phase remained before the majority of the HZO film was removed by etching. Interestingly, as o- and t-phases were removed, the grain size of the m-phase crystallites increased in size according to the Scherrer equation. XRR investigations also monitored a decrease in the film density with ALE. In addition, atomic force microscopy (AFM) measurements observed that the density decrease was accompanied by an increase in film roughness.

Powder diffraction (PXRD) studies were also conducted to investigate the phase transformation of crystalline ZrO2 powder at 250 oC. ZrO2 powder was used as a model system since the chemical properties of HfO2 and ZrO2 are very similar. PXRD analysis of as-received ZrO2 powder showed crystallographic planes of mostly m-phase with some cubic (c-phase) and t-phase. As expected, the etching of ZrO2 powder resulted in a mass loss. PXRD also observed the loss of c- and t-phases and an increase in grain size of m-phase crystallites. The results for the HZO films and ZrO2 powder are similar. There are crystal phase transformations that occur with loss of o- and t-phases and growth of m-phase during thermal ALE.

[1] J. A. Murdzek and S. M. George, J. Vac. Sci. Technol. A 38, 022608 (2020)

11:40 AM AP+PS+TF-MoM-11 Novel Conversion Half-Cycle for Thermal ALD of High-Density Hfo2 and Its Use in HfO2/Al2O3 Nanolaminate Dielectric Barriers
Dane Lindblad (Forge Nano)
Hafnium dioxide, HfO2, is an attractive material for use as a dielectric barrier in high-power SiC and GaN electronics, both MOSFET and HEMT, due to its high dielectric constant and thermal stability. Current techniques for depositing HfO2 by thermal atomic layer deposition (ALD) tend to produce low density and performing films. While plasma enhanced ALD (PEALD) is employed to improve the performance, the high field and fast switching requirements of the device can make the barriers insufficient. In addition, not all applications can accommodate plasma. As such, a novel conversion process, referred to as the “CRISP” process, for the deposition of HfO2 via thermal ALD has been explored. Utilizing the tool’s unique ability to introduce a small amount of non-metal catalyst during the conversion half-cycle, the CRISP process employs surface catalysis to increase growth per cycle, improve stoichiometry, increase density, and modify crystal morphology compared to HfO2 films grown with conventional conversion methods, O3, as shown in Figure 1 and Table 1 below. A comparison of the HfO2 films grown using the CRISP process and the conventional O3 process, both deposited at 250°C, will be presented. Furthermore, due to the layer-by-layer growth of ALD, this deposition technique lends itself well to the fabrication of nanolaminate materials. Specifically, HfO2/Al2O3 laminate stacks can be precisely manufactured to alter the bulk material properties and curate device performance, allowing one to choose improvements in leakage current or dielectric breakdown in the nanolaminate film. An initial investigation into the performance of various HfO2/Al2O3 laminate stacks is presented, and this work, coupled with higher quality HfO2 films, gives insight into the use of these materials for the next generation of high-power electronic devices. View Supplemental Document (pdf)
Session Abstract Book
(324KB, Nov 2, 2023)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule