AVS 69 Session AP+PS-MoA: Plasma Enhanced Atomic Layer Etching

Monday, November 6, 2023 1:40 PM in Room A107-109

Monday Afternoon

Session Abstract Book
(316KB, Nov 2, 2023)
Time Period MoA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
1:40 PM AP+PS-MoA-1 Chemical Contrast by Nitridation for Improving Atomic Layer Etching Selectivity in Interconnect and EUV Absorber Applications
Taylor G. Smith (University of California, Los Angeles); Jean-François de Marneffe, Vicky Philipsen (IMEC); Jane P. Chang (University of California, Los Angeles)

As extreme ultraviolet lithography (EUVL) dominates the fabrication of future integrated circuits, advanced EUV photomask absorber materials such as Ni and Ni-Al alloys are needed. As Ru emerges as the capping layer for EUV absorber and a potential supplementary material for backend interconnects, the fact that Ru etches rapidly in oxygen requires a different approach (e.g., nitridation) to create the needed chemical contrast for atomic layer etching. In this work, we present a plasma-thermal atomic layer etching (ALE) approach to etch Ni that relies on nitriding the metal surface using nitrogen plasma, then removing the surface metal nitride with formic acid (FA) vapor. Previous work relied on oxygen plasma as the modification step to form a surface NiO 1. However, oxygen plasma is known to etch Ru, the standard capping material in EUVL masks. Here we explore a nitrogen plasma-based ALE process to increase the selectivity of the Ni etch to the Ru capping layer. An additional motivation for exploring nitridation-based ALE is that metal nitrides, unlike metal oxides, do not form spontaneously in air, making it possible to ascertain that all surface metal nitride formation must be a result of the plasma processing.

The composition of the metal nitride layer is measured by X-ray photoelectron spectroscopy (XPS) and is controlled by varying the plasma power, pressure, and exposure time. Ni films nitridized in a 500 W, 35 mTorr nitrogen plasma for 5 min have a surface composition of Ni2.8N, close to the stoichiometric Ni3N composition. XPS also confirmed the removal of the surface NixN after exposure to FA vapor at 80°C as manifested by the disappearance of the metal nitride N 1s peak at 397.6 eV. The thickness of the Ni films as a function of ALE cycles is measured by scanning electron microscopy (SEM) and spectroscopic ellipsometry (SE), with the etch rate measured by SE being 0.49 ± 0.20 nm/cycle. We also determine the selectivity of the plasma nitridation ALE process to common hardmasks and to Ru. Finally, we extend this plasma nitridation ALE chemistry to Ni-Al alloys, determining both the etch rate and the stoichiometry changes in the film as a function of ALE cycles.

1. X. Sang and J. P. Chang, J. Vac. Sci. Technol. A 38 (4), 042603 (2020).

2:00 PM AP+PS-MoA-2 Anisotropic and Selective Atomic Layer Etching of Ruthenium
Owen Watkins (University of California at Los Angeles); Harsono Simka (Samsung); Jane Chang (University of California at Los Angeles)

Ruthenium has been proposed as a replacement for copper in sub-10 nm interconnects, where it has a lower resistivity and does not need as thick of a diffusion or adhesion layer. Ruthenium is known to be etched aggressively in an oxygen plasma by the formation of RuO4. A reactive ion etching process using plasma with both O2 and Cl2 is a direct, high etch rate method, but there is a need to achieve better control and selectivity in order for Ru to be a viable interconnect material. In this work, a thermodynamic approach was first taken to determine viable chemistries. It confirmed that Ru and RuO2 react with atomic O spontaneously to form RuO4, and with atomic N to form a metastable RuN layer.RuN reacts with atomic O, but the reaction is less favorable, and can be reduced by H and H2 into metallic Ru. The thermodynamic assessment led to a novel three-step process to control the etching of ruthenium where a nitrogen plasma forms RuN on the surface of the ruthenium thin film, a hydrogen plasma reduces some of the RuN, creating a surface layer of Ru, then an oxygen plasma etches this topmost layer of Ru but is unable to etch into the RuN.Experimental validation was first realized by exposing blanket Ru film to the sequential process. Compared to a baseline of continuous O2 plasma with equal conditions and total exposure time as the O2 plasma step, the three-step process shows an increase in RMS surface roughness of 0.05 nm/cycle and a post-etching resistivity of 30 μΩ-cm, whereas continuous O2 plasma shows an increase of 0.2 nm/30s of exposure and a post-etching resistivity of 280 μΩ-cm.

Finally, using a SiO2-patterned Ru structure, a highly anisotropic etch profile was obtained:the nitrogen plasma exposure forms RuN on the exposed surface and sidewalls. Hydrogen plasma with an applied bias anisotropically reduces RuN. Then, an oxygen plasma selectively etches Ru while leaving RuN on the sidewall intact. By creating the anisotropy in the previous steps, a gentler O2 plasma exposure can be used, which results in anetch rate of 4 nm per cycle and a selectivity of Ru vs. SiO2/Si3N4 of 15, compared to conventional O2 + Cl2 RIE plasma etching in literature that reports etch rates in excess of 40 nm per minutes and selectivities around 6.

2:20 PM AP+PS-MoA-3 Mechanisms and Benefits of Cryogenic Processes in Silicon Based Material Atomic Layer Etching
Remi Dussart, Rim Ettouri, Jack Nos, Gaelle Antoun, Philippe Lefaucheux, Thomas Tillocher (GREMI CNRS/Université d'Orléans)

Since its introduction in 1988, plasma cryogenic processing has been applied to deep etching of silicon for microelectronics and MEMS devices. High aspect ratio structures can be obtained at very low temperature (typically -100°C) of the substrate in SF6/O2 plasma. The mechanism is based on a passivation SiOxFy layer, which forms at low temperature only. The formation of this passivation layer has been characterized by in-situ diagnostics such as ellipsometry, mass spectrometry and XPS. SiF4 molecules which correspond to the main by products of silicon etching by fluorine can also participate in the passivation layer formation and reinforce it. The composition of the SiOxFy layer formed in SiF4/O2 plasma strongly depends on temperature. In particular, the fluorine content significantly increases below a temperature threshold between -60°C and -100°C. However, this threshold is reached at higher temperature if we process a Si3N4 surface instead of a Si surface.

We can take advantage from these interesting properties at cryogenic temperature to develop new cryo-ALE processes. In this presentation, two different types of cryo-ALE will be introduced. The first one is based on the physisorption of C4F8 molecules without plasma followed by an argon plasma step to etch SiO2 at the atomic scale. The second one consists in alternating SiF4/O2 plasma interacting with a cooled substrate with argon plasma. During the SiF4/O2 plasma, the passivation layer composition can be tuned depending on temperature and substrate material surface. In particular, we will show that a very good etch selectivity between Si3N4 and Si can be obtained at a temperature of -65°C for which a very low etch rate is obtained for Si while Si3N4 surface is etched at a higher rate. This property can be explained by the chemical analysis of the two surfaces at low temperature. Finally, some experiments of cryoetching in CHF3/Ar plasma will be presented showing some interesting trends at low temperature on different silicon based materials.

3:00 PM AP+PS-MoA-5 Damage Formation Analyses of Steady Plasma-Enhanced Atomic Layer Etching for Silicon Nitride with Molecular Dynamics Simulations
Jomar U. Tercero (Osaka University, Japan); Akiko Hirata (Sony Semiconductor Solutions Corporation); Michiro Isobe, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa (Sony Semiconductor Solutions Corporation); Satoshi Hamaguchi (Osaka University, Japan)

Molecular dynamics (MD) simulations were performed to study the etching mechanisms and surface damage formations during the plasma-enhanced atomic layer etching (PEALE) of silicon nitride (SiN). PEALE is a thin-layer etching technique to achieve a uniform and precisely etched material surface. The typical ALE process consists of alternating self-limiting adsorption and desorption steps. In the adsorption step, the material is exposed to reactive species, which modifies the surface layer. In contrast, in the desorption step, the modified surface is bombarded with low-energy inert ions, resulting in the removal of the modified layer. A recent report on SiN PEALE processes with hydrofluorocarbon (HFC) radical adsorption and Ar ion impacts has shown that C tends to accumulate on the surface, which causes an etch stop [1]. Our simulations of an ideal PE-ALE system with CH2F radical adsorption and Ar ion bombardment have revealed that the remaining C atoms from the initial ALE cycle can trigger the C accumulation. The surface damage was also observed due to the ion bombardment. Due to the formation of a C layer, high-density Si-C bonds were found to remain on the surface after several ALE cycles, causing further accumulation of C atoms. Therefore, we introduced a short O2 plasma irradiation process after the desorption step to help remove the problematic C atoms [2]. Our simulations agree with the experimental observations that the additional O2 plasma irradiation step can prevent the etching from stopping. The Si-C bonds were minimized since O atoms reacted with the C atoms, forming CO and CO2 species. Regardless, Ar ions with sufficient incident energy are still needed for the etching to proceed, which can cause damage to the etched surface. To understand the effect of the energetic ions in the desorption step, we also performed SiN PEALE with heavier inert gas ions such as Kr. It has been observed that, while Kr ions have shallow penetration depths than Ar ions and therefore cause less surface damage, Ar ions remove C atoms from the surface more efficiently than Kr ions.

References

[1] A. Hirata, M. Fukasawa, K. Kugimiya, K. Nagaoka, K. Karahashi, S. Hamaguchi, and H. Iwamoto, JVST A, 38, 062601 (2020).

[2] A. Hirata, M. Fukasawa, J.U. Tercero, K. Kugimiya, Y. Hagimoto, K. Karahashi, S. Hamaguchi, and H. Iwamoto, JJAP (2022).

3:20 PM AP+PS-MoA-6 Orientation Dependent Etching of Silicon: A Computational Chemistry Study
Yuri Barsukov, Omesh Dwivedi, Sierra Jubin, Joseph Vella, Igor Kaganovich (Princeton University Plasma Physics Lab)

Orientation dependent etching of silicon is a phenomenon, when etch rate of silicon surface depends on the surface orientation. It was experimentally established that Si(111) surface is slower etched than Si(100) and Si(110). In this case the etch profile becomes anisotropic (without plasma and ions) and the surface is textured with micro- and nano-scale pits. In other words, anisotropy of the etching determines surface roughness. Plasma-less atmospheric dry etching is one of the applications of this processing in technology of black silicon production for photovoltaic solar cell manufacturing, where F2 gas makes silicon surface rougher. On the other hand, rough surface is unwanted during manufacturing of integrated circuits, because it leads to degradation of device characteristics. Thus, basic understanding of this phenomenon is needed to better control surface structure during the etching.

We performed modeling of Si(100), Si(110) and Si(111) etching by F2 molecule combined with DFT (density functional theory), TST (transition state theory) and MD (molecular dynamics) approaches like it was done in [1,2]. The combination of DFT and TST enables us to calculate probabilities of gas-surface reactions and perform kinetic modeling of the etching, while under MD approach evolution of the surface at different temperatures at ns time-scale is considered.

We assumed that F2 dissociative chemisorption leading to Si-Si bond breaking is rate-determining step of whole etching process and reproduce the experimental measurements that the barrier of F2 dissociation on Si(111) is significantly higher than on Si(100) and Si(110). We established that the value of the barrier is determined by the charge distribution on the surface, and the charge distribution in turn is determined by the number of F atoms incorporated into the surfaces.

Our modeling was validated and well reproduced experimental data such as values of activation barrier and etch rate as a function of temperature.

Based on our mechanism of the etching we will perform similar modeling aimed to design new etchants for Si etching to better control roughness and surface texturing.

References:

  1. S Jubin et al, Frontiers in Physics 10, (2022) DOI: 10.3389/fphy.2022.908694.
  2. Y Barsukov et al, Nanotechnology 32, 475604 (2021) DOI: 10.1088/1361-6528/ac1c20.
3:40 PM BREAK
4:20 PM AP+PS-MoA-9 Process Drift of SiO2 Atomic Layer Etching in HFC and FC/Ar Chemistries by Optical Spectroscopy and Surface Chemistry Analysis
Antoine Ronco, Francois Boulard, Nicolas Posseme (Univ. Grenoble Alpes, CEA, Leti)

Manufacturing new semiconductor devices requires atomic scale control of etching processes in order to decrease their dimensions. Atomic Layer Etching (ALE) allows such thin control of the processes [1][2]. One of the challenges is tuning the durations of the deposition and activation steps to obtain a process with a stable amount of material etched per cycle. If not tuned correctly the fluorocarbon (FC) film can accumulate on the sample’s surface causing a drift in the amount of material etched per cycle (EPC)[3]. In this paper, we investigate the use of Optical Emission Spectroscopy (OES) to monitor the drift of a quasi-ALE process. Then, we study the impact of the gas chemistry on the appearance of the drift. Finally, we optimize contact hole etching and especially the landing on the etch stop layer.

The samples studied consist of 100 nm SiO2 on Si blanket wafers. The experiments are carried out in a 300 mm capacitively coupled plasma reactor. The wafers are etched using C4F8, C4F6, or CH3F/Ar based chemistry in a two steps approach, namely deposition and activation. The reactor is cleaned using an O2 plasma before and after etching each wafer.

We observe a decrease in EPC of a quasi-ALE process when increasing the number of cycles. The examination of the evolution of the intensities of OES spectra through deposition and activation steps reveals that the line at 251 nm, which could correspond to CF/CF2 radicals, is an indicator of the drift of the process [4]. The decreasing intensity observed during the activation step suggests the accumulation of a carbon film on the sample’s surface. This is confirmed through XPS analysis showing an increase in carbon content on the sample’s surface when increasing the number of cycles. The correlation between XPS analysis, OES observation, and EPC evolution with the number of cycle shows that the EPC drift can be monitored in real time using OES.

We use this method to study the impact of gas chemistry on the drift of our etching process for SiO2 and SiN etching. The effect of the FC gas used on the selectivity and uniformity of our process is also reported.

Finally, the impact of gas chemistry and number of cycles on pattern etching is characterized using a Scanning Electron Microscope (SEM). Particular interest is paid to the conformality of the FC film deposited on patterns and etching at the bottom of the contact.

[1] K. J. Kanarik et al., JVSTA 33, no 2, 020802, 2015

[2] G. S. Oehrlein, et al., ECS J. Solid State Sci. Technol. 4, no 6, N5041–N5053, 2015

[3] C. M. Huard, et al., JVSTA 36, no 6, 06B101, 2018

[4] R. W. B. Pearse, et al., The identification of molecular spectra, 4th ed. London: Chapman & Hall, 1976.

4:40 PM AP+PS-MoA-10 Atomic Layer Etching of Superconducting Titanium Nitride Thin Films Using Molecular Oxygen and H2/SF6 Plasma
Azmain Hossain, Austin Minnich (California Institute of Technology)
Microwave loss in superconducting titanium nitride (TiN) films is attributed to two-level systems in various interfaces arising in part from oxidation and microfabrication-induced damage. Atomic layer etching (ALE) is an emerging subtractive fabrication method which is capable of etching with Angstrom-scale etch depth control and potentially less damage. However, while ALE processes for TiN have been reported, they either employ HF vapor, incurring practical complications; or the etch rate lacks the desired control. Further, the superconducting characteristics of the etched films have not been characterized. Here, we report an isotropic plasma-thermal TiN ALE process consisting of sequential exposures to molecular oxygen and an SF6/H2 plasma. For certain ratios of SF6:H2 flow rates, we observe selective etching of TiO2 over TiN, enabling self-limiting etching within a cycle. Etch rates were measured to vary from 1.1 Å/cycle at 150 °C to 3.2 Å/cycle at 350 °C using ex-situ ellipsometry. We demonstrate that the superconducting critical temperature of the etched film does not decrease beyond that expected from the decrease in film thickness, highlighting the low-damage nature of the process. The ALE-treated films were also studied using XPS and AFM. These findings have relevance for applications of TiN in microwave kinetic inductance detectors and superconducting qubits. (arXiv:2307.02821)
5:00 PM AP+PS-MoA-11 Quasi-Atomic Layer Etching of X-Cut MgO-Doped Lithium Niobate Using Sequential Exposures of H2 and SF6 Plasma
Ivy Chen, Jennifer Solgaard, Ryoto Sekine, Azmain Hossain, Anthony Ardizzi, David Catherall, Alireza Marandi (California Institute of Technology); Frank Greer (Jet Propulsion Laboratory (NASA/JPL), California Institute of Technology); Austin Minnich (California Institute of Technology)
Lithium niobate (LiNbO3, LN) is an emerging platform for integrated photonics. Recent demonstrations of record on-chip quantum states, >100 GHz electro-optic modulators with CMOS compatible voltages, and multi-octave frequency combs with ~100 fJ pump pulse energies highlight the potential of this platform to enable novel on-chip photonic functionalities. However, thin-film lithium niobate (TFLN) devices suffer from large scattering losses resulting from the surface roughness left by Ar+ milling, the standard technique used for nanophotonic LN waveguide fabrication, negatively impacting device performance. Atomic layer etching (ALE) can potentially mitigate scattering losses due to its ability to smooth surfaces to sub-nanometer length scales, but no ALE process has been reported for TFLN. Here, we report an anisotropic quasi-ALE process for X-cut MgO-doped LN using sequential exposures of H2 and SF6 plasma. We observe etch rates up to 2.1 nm/cycle with a synergy of ~97% and characterize the etched surfaces using X-ray photoelectron spectroscopy, secondary ion mass spectrometry, and atomic force microscopy. This process has the potential to serve as a post-processing step to smooth patterned TFLN surfaces which may both increase the performance of existing TFLN devices and enable novel devices not attainable in other integrated photonic platforms.
Session Abstract Book
(316KB, Nov 2, 2023)
Time Period MoA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 69 Schedule