AVS 71 Session PS-TuM: Advanced Memory, HARC, and Cryo Etching
Session Abstract Book
(389 KB, Jun 15, 2025)
Time Period TuM Sessions
|
Abstract Timeline
| Topic PS Sessions
| Time Periods
| Topics
| AVS 71 Schedule
Start | Invited? | Item |
---|---|---|
8:00 AM |
PS-TuM-1 Optimizing hfzro2 Film Thinning by Plasma Etching for Ferroelectric Memories
Vincent Michaud, Christelle Boixaderas, Laurent Grenouillet, Thierry Chevolleau (CEA-University Grenoble Alps, France) Non-volatile memories are crucial for reducing energy use in modern computing, where most energy is used for data transfer and storage. Ferroelectric Random Access Memories (FeRAMs) retain data without power, lowering energy consumption. The Hafnium-Zirconium Oxide (HZO) has promising ferroelectric properties and its integration is fully CMOS compatible but requires the deposition of a TiN top electrode before the annealing step for crystallization [1] [2]. Recent studies have shown the interest in implementing HZO film thickness lower than 10 nm to reduce voltage operation. However, for such a thickness, the annealing temperature exceeds 400°C which may hamper Back-End Of Line (BEOL) integration [3]. The main goal of this work is to implement HZO thin film with a thickness lower than 10 nm while getting ferroelectric properties for a thermal budget compatible with BEOL integration. The approach is based on the integration of an HZO thin film of 10 nm between the two TiN electrodes (bottom and top) followed by the thermal annealing step to get the ferroelectric properties at a temperature compatible with BEOL scheme. Then, the first step is to etch back the TiN top electrode down to the HZO layer and the second step consists in reducing the thickness of HZO film by plasma etching. This work involves developing processes to remove the TiN top electrode without damaging the underlying HZO, to optimize plasma etching parameters to thin down the HZO crystallized films below 10nm, while retaining ferroelectric properties. The 10nm thick HZO films deposited by Atomic Layer Deposition (ALD) are partially etched using Inductively Coupled Plasma (ICP) with chlorine-based chemistry. Film thickness is measured by spectroscopic ellipsometry, the surface topography and roughness are analyzed by means of Atomic Force Microscopy (AFM). X-Ray Diffraction (XRD) and X-ray Photoelectron Spectroscopy (XPS) are used to study the impact of plasma etching on the film’s structure and surface composition. The removal of the top electrode is studied using plasma etching or/and wet etching to achieve a selective process to HZO without damaging. The thinning down of the HZO film is based on a parametric study of BCl3/Cl2-based plasma to get an uniform etch process while preserving the crystalline phase with a low surface roughness.Quasi in-situ XPS analysis reveals surface modifications and etching mechanisms, compared to HfO2 film thinning. [1] T.S. Böscke, Appl. Phys Lett, 99, 102903 (2011) [2] M. Hoffmann, J. Appl Phys, 118, 072006 (2015) [3] K. Toprasertpong et al., ACS Applied Materials & Interfaces, Vol.14/Issue45, (2022) View Supplemental Document (pdf) |
|
8:15 AM |
PS-TuM-2 Investigation of Hydrogen and Nitrogen Used as Etching Chemistry or Surface Treatment for Phase-Change Random Access Memory Patterning
Benjamin Fontaine (STMicroelectronics); Christelle Boixaderas, Jérôme Dubois (CEA/LETI-University Grenoble Alpes, France); Pascal Gouraud, Arnaud Rival (STMicroelectronics); Nicolas Posseme (CEA/LETI-University Grenoble Alpes, France) Phase-change random access memories (PCRAM) have become a crucial technology for data storage, leveraging the resistive tunable properties of a germanium-antimony-tellurium alloy also known as GST. For automotive application requiring high temperature data retention, this material is enriched in germanium resulting in a Ge-rich GST, or Ge-GST [1]. GST is commonly etched using halogen chemistries in inductively coupled plasma reactors [2-3]. The HBr molecule provides a good trade-off in between fast etching and limited film modification. However, it alters the film, leading to the formation of germanium-oxides residues upon air exposure. Recent research focuses on alternative hydrogen and nitrogen-based gases for PCRAM patterning [3]. This study evaluates the effects of H2 and N2 plasma etching on Ge-GST films. Both gases have etch rates below 10 nm.min-1 on unpatterned wafers. Atomic force microscopy (AFM) and X-ray Photoelectron Spectroscopy (XPS) reveal that the hydrogen-etched surface is smooth with limited modification, whereas the nitrogen-etched film is rough and significantly altered in stoichiometry. In-situ chemical analysis detected oxygen, fluorine and chlorine contamination after nitrogen etching. To further investigate hydrogen's influence, a specific protocol was developed. Fourier-Transform Infrared Spectroscopy (FTIR) and time-of-flight ion mass spectrometry (ToF-SIMS) indicated substantial hydrogen incorporation in the film post-H2 etching, likely bounded to germanium and tellurium. Subsequently, we explored an alternative method to integrate these recent chemistries into Ge-GST patterning. This approach was applied after partial etching of Ge-GST using HBr plasma, as post-etching treatment (PET). The results were consistent with those obtained using the gases as primary etchants. X–ray reflectivity (XRR) measurements showed a negligible GST consumption during both PET. AFM analysis highlighted a smooth surface with hydrogen PET and a rough surface after nitrogen PET. XPS confirmed the preservation of the material stoichiometry plus halogen removal with H2 PET and the film alteration with N2 PET. Finally, hydrogen gas was implemented on memory lines as main etching and PET within the full process including dry stripping, and wet cleaning. Both solutions demonstrated promising results compared to a bromine-based reference process, as evidenced by secondary and transmission electron microscopy (SEM and TEM) coupled with energy dispersive X-ray spectroscopy (EDX). [1] P. Zuliani et al., IEEE Trans. Electron Devices 60, 4020 (2013). [2] Y. Canvel et al., J. Vac. Sci. Technol. A 37, (2019). [3] M. Shen et al., J. Vac. Sci. Technol. A 38, (2020). |
|
8:30 AM | Invited |
PS-TuM-3 Does the Etching of Exotic Materials or the Implementation of Cryogenic Conditions Call Into Question the Fundamentals of Plasma-Surface Interaction?
Christophe Cardinaud, Tatiana Mbouja Signe (Nantes Université - CNRS-IMN); Felipe Cemin, Hiba Beji (Nantes Université - CNRS-IMN, France); Thomas Le Pape (Nantes Université - CNRS-IMN France); Aurélie Girard, Cédric Mannequin (Nantes Université - CNRS-IMN) Since the early days of plasma etching in the 1970's, mechanisms leading to the etching of a material using a plasma environment have been the subject of numerous studies. The pioneering work of Coburn and Winters set the synergy of interaction between the reactive neutral species and the ion bombardment. In the 1990's numerical expressions of the etch rate versus reactive flux and ion flux were proposed. The most efficient system considers a Langmuir adsorption mechanism for the etchant and an ion flux stimulated desorption mechanism for the etch product. Surprisingly, this model matches experimental data in many situations, where the etching yield is observed to follow a "Langmuir-like" behaviour as function of the ion flux to neutral flux ratio. However, XPS surface analysis, TEM profile and molecular dynamics simulations clearly show that plasma-surface interaction is much more complex. Indeed ion bombardment causes damage to the material; reactive neutrals penetrate the material; so the plasma-surface interaction mechanism is far from being a single-layer process. In order to better control etched depth, chemical and electrical defects, as well as pattern shape, dimension and surface topography, some etching processes are now moving towards atomic layer etching (ALE) and cryoetching. ALE aims to separate chemical modifications of the surface from the action of ion bombardment, thus breaking with the ion-neutral synergy strategy. Cryoetching aims to increase surface coverage of reactive species at the pattern bottom while promoting passivation mechanisms at the pattern sidewall. Nowadays, it has become a crucial technology in semiconductor manufacturing, as it allows high aspect ratio and selectivity, controllable sidewall profiles and damage in features from the micrometer to the nanometer scale. Recently, it has proved to be of great interest in ALE processes. Behind these technological advances lie several physicochemical mechanisms occuring on the uppermost atomic layers of the cooled surface. Furthermore etching of exotic materials, such as V2O3, whose structure may not be as stable as "usual" dielectrics, metal or semiconductors, exhibits strong deviations from the "Langmuir-like" behaviour. The presentation will briefly review the main findings on the fundamentals of plasma-surface interaction. The evolution of concepts in the case of ALE will also be discussed. Then the physicochemical mechanisms under cryogenic conditions will be presented, with a particular attention to the sample-cooling phase and the effect of residual gases. Finally, the strange case of V2O3 will be addressed. |
9:00 AM |
PS-TuM-5 Charging Dynamics During Pulsed Plasma Etching of High Aspect Ratio Features in Dielectric Materials
Chenyao Huang, Yeon Geun Yook, Yifan Gui (University of Michigan); Steven C. Shannon (North Carolina State University); Mark J. Kushner (University of Michigan) During plasma etching of high aspect ratio (HAR) features in dielectric materials (e.g., SiO2, Si3N4, ONO), disparities in the energy and angular distributions (EADs) between positive ions and electrons result in differential charging within the feature. The resulting electric fields within the feature can distort the trajectories of incoming ions resulting in defects and feature distortion. With the aspect ratio of features for memory and logic increasing, there are also increasing concerns of the consequences of charging. A proposed remedy for feature distortion due to charging is the use of pulsed biases. The feature is exposed to different fluxes and EADs of charged species during the on- and off-times during the pulse period. As a result, charging dynamics differ during the on- and off-periods. Net charging likely occurs during the on-portion of the pulsed period when ion energies are high. Discharging likely occurs during the off-portion of the period when ion energies are low, including attracting negatively charged particles into the feature. In this work we discuss results from a computational investigation of the charging dynamics during pulsed plasma etching of HAR dielectric structures using a 3D voxel-based model, the Monte Carlo Feature Profile Model (MCFPM). MCFPM receives fluxes and EADs of incoming species toward the wafer from a model for plasma properties at the reactor scale, the Hybrid Plasma Equipment Model (HPEM). The MCFPM launches and tracks pseudo-particles representing neutral and charged fluxes towards the surface, and simulates the evolution of the feature and the charging process. The MCFPM includes newly developed algorithms for secondary electron emission processes for electrons and ions. Charging dynamics during pulsed plasma etching of HAR vias will be discussed for fluorocarbon and cyrogenic etching of SiO2 and ONO stacks in multi-frequency capacitively coupled plasmas. This work was supported by the Department of Energy Office of Fusion Energy Sciences (DE‐SC0024545), Samsung Electronics and Lam Research. |
|
9:15 AM |
PS-TuM-6 The Dynamics of Etch By-Product Transport in Vias and Their Interactions with Plasma Species at the Feature Exit
Austin Krauss (University at Albany); Qi Wang, Nicholas Smieszek, Sergey Voronin, Akiteru Ko (Tokyo Electron America); Shigeru Tahara (Tokyo Electron Miyagi Limited); Christophe Vallee (University at Albany) High aspect ratio (HAR) etching in fluorocarbon (CFx) plasmas has presented challenges in the pursuit of continuous shrinking of the integrated circuit technology node. With increasing aspect ratios of etched features, maintaining a constant channel critical dimension necessitates precise control over the reactive species both in the etch feature channels and bulk plasma. Furthermore, the formation of etch by-products and their subsequent interactions with the surface and plasma species possess a growing influence on surface redeposition, etch efficiency and etch structure profile. Therefore, the advancement of existing plasma processing techniques depends on a complete understanding of by-product transport and interactions both within etch channels and in the bulk plasma. In this study, we characterized the transport and interactions of “artificially injected” by-products with plasma discharge species throughout HAR channel experimental features. In the absence of CFx radicals, expected silicon and silicon dioxide etch by-product gases, including SiFx and COFx, were injected through HAR features exposed to an argon inductively coupled plasma discharge. Interactions between the gases exiting microscopic vias and the plasma were analyzed in relation to substrate temperature and various argon discharge conditions, such as argon pressure, which influenced electron temperature and reaction kinetics. Through investigation, plasma electronic properties were monitored with Langmuir probe diagnostics, while by-product redeposition profiles in via-like structures were characterized in terms of film thickness and surface composition using ellipsometry and X-ray photoelectron spectroscopy, respectively. This analysis also enabled the study of radical generation in the HAR channel due to ion-induced dissociation of by-product species and the resulting surface interactions. Surface characterization of sidewall deposition throughout the experimental vias allowed for an investigation of the role of aspect ratio in ion and by-product species interactions in HAR channels. |
|
9:30 AM |
PS-TuM-7 Etching Properties of Maskless Oxide/Nitride/Oxide/Nitride (ONON) Stacks with C4H2F6-based Gas
Jong Woo Hong, Nam Il Cho, Geun Young Yeom (Sungkyunkwan University (SKKU)) The Oxide/Nitride/Oxide/Nitride (ONON; SiOx/SiNx/SiOx/SiNx) stacked structure is commonly used in the 3D vertical architecture of semiconductor memory cells. In the etching of metal contact area of the ONON structure (that is, staircase etching), photoresist (PR) is patterned and repeatedly trimmed over the ONON structure after etching one of the ON layers to form the 3D cells.This layer-by-layer etch process is time-consuming. As a result, a two-step etch method, which involves maskless etching of an ONON stack followed by etching one ON layer at a time with PR trimming,has been adopted using gases such as C4F8 or C4F6. However, this two-step approach leads to less ideal etch profiles in the maskless ONON stack in addition to high global warming potentials of C4F8 and C4F6 gases. In this study, the etch behavior of maskless ONON stack features using C4H2F6-based gas, which has a lower global warming potential, has been explored and examined its impact on etch characteristics such as etch rate, etch profile, critical dimension (CD) changes, and etch selectivity between SiOx and SiNx. The results showed that C4H2F6-based gas achieved the highest etch rates of ONON stack compared to C4F6 and C4F8, with an etch selectivity of approximately 1:1 between SiOx and SiNx, due to the hydrogen content in the gas. Furthermore, the horizontal CD change was smaller when using C4H2F6 compared to C4Fx-based gases. A thicker carbon-based polymer layer on the sidewall by the etching with C4H2F6 also played a crucial role in preserving the top edge shape of the etched maskless ONON stack. |
|
9:45 AM |
PS-TuM-8 Aspect Ratio Resolved Mass Spectrometry for Sticking Probability of Neutral Species in High Aspect Ratio Hole
Takumi Kurushima, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, Kenji Ishikawa (Nagoya University) The demand for microfabrication technology has been increasing as semiconductor devices become three-dimensional (3D) structures. In particular, plasma etching processes for 3D structure are required with high aspect ratio holes and without their shape abnormalities. Simulation-based studies are actively uncovering particle transport and reactions inside the holes, which are difficult to measure them. However, in particle behavior, the sticking probability of radicals on sidewalls is often set based on the number of unpaired electrons, resulting in an approximate value and making it an imprecise parameter. We have developed a novel measurement method to quantitatively determine the sticking probability of neutral species. The measurements were performed using the appearance mass spectrometry of a quadrupole mass spectrometer (QMS). By varying the aspect ratio of the orifice at the QMS entrance, radicals passed through orifices with different aspect ratios.The fitting results of the experimental and Monte Carlo simulation data lead to a sticking probability. This method is named Aspect Ratio Resolved Mass Spectrometry (ARMS). From these results, the sticking probabilities of CF, CF2, CF3, and C2F4 were estimated. The ARMS can evaluate the sticking probabilities of neutral species with different mass numbers, contributing to more accurate particle models in simulation-based research. |
|
10:00 AM | BREAK - Complimentary Coffee in Exhibit Hall | |
11:00 AM |
PS-TuM-13 Twisting and Profile Distortion in High-Aspect Ratio Etching Processes
Prem Panneerchelvam, Jin Xie, Chad Huard, Mark Smith (KLA Corporation) In recent years, the channel hole etching process in 3D NAND manufacturing has experienced significant evolution. Traditionally reliant on conventional high temperature etching processes with fluorocarbon-based chemistries in pulsed plasmas, the industry has progressively adopted various generations of cryogenic etching to meet the demanding requirements of high aspect ratio structures. The primary challenge in these processes is to preserve the spatial uniformity of the etched profiles despite the extreme aspect ratios involved. In conventional processes, achieving critical dimension (CD) uniformity was the major focus; however, as the industry transitioned to cryogenic etching, new challenges emerged. Notably, two critical phenomena—twisting and profile distortion—now dominate process variability. Twisting refers to the stochastic deviation of the etching pattern from its intended trajectory, while profile distortion describes the transformation of ideally circular mask openings into non-circular, often triangular, shapes during the etching process. To elucidate the origins and evolution of these phenomena, we employ ProETCH®, a feature-scale Monte Carlo profile simulator. This tool enables a detailed study of high aspect ratio etch dynamics, offering insights into how stochastic variations lead to twisting and how process conditions contribute to profile distortion. By identifying process windows and parameter adjustments—including changes to plasma properties (IEADs)—this work aims to reduce these effects and improve the overall performance of the etching process. |
|
11:15 AM |
PS-TuM-14 Detection of Etch Products during the SiNx Etching in a HF Plasma with In Situ Mass Spectrometry
Xue Wang, Md Tanzid Hossain (Colorado School of Mines); Prabhat Kumar, Thorsten Lill, Harmeet Singh, Mingmei Wang, Taner Ozel (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines) We have identified the primary etch products formed during etching of SiNx with an HF plasma using in situ mass spectrometry. As etch products are formed, they can dissociate in the plasma, making direct detection of these species difficult. Due to this complexity, mass spectrometry has been primarily used to detect etch products generated during exposure of the surface to neutrals and ion beams. In other cases, the etch products are detected with a quadrupole mass spectrometer (QMS) downstream of the plasma etcher. While beam studies have provided insight into the basic etch mechanism, the etch products formed in a plasma environment can be different. If the etch products are measured downstream, these species may not represent those that are directly released from the film’s surface. In this work, we identify several etch products during the SiNx etching in HF plasma using an in-house-built sampling setup for a QMS. As shown in Fig. 1, the QMS housing consists of two stages of differential pumping, and gas phase species were sampled by a skimmer cone positioned ~0.5 cm from surface being etched in the plasma. At an HF pressure of 10 mTorr, this distance is on the order of the mean free path. Additionally, we alternate between self-bias and applied radio-frequency bias at 4 MHz to isolate species that originate directly from the surface. All these designs ensure minimal interaction of etch products with the plasma and other surfaces in the chamber. From the time-resolved mass spectra for SiFx+ (x = 1, 2, 3, 4) ions during both self-biased and applied-biased etching, we confirmed that SiF4 is the main etch product. Other fluorosilanes, SiHxF4-x, were also identified as etch products and the relative ratio of SiF4 to other fluorosilanes increased with the bias voltage (see Fig. 2). Specifically, we observed that the fraction of SiF4 increased faster than SiHF3 when a bias was applied, and SiH2F2 decreased when switching from the self-bias to the applied-bias condition. This suggests that the reaction pathways change with increasing ion energy during SiNx etching. Further measurements on N-related etch products indicated that NH2F is likely an etch product as the QMS signal intensity for NF+ and NHF+ increased during etching (see Fig. 3 a, b). Furthermore, the threshold energy for dissociative ionization of NH2F to NF+ was ~14.8 eV, which is consistent with the value in the literature (see Fig. 3 c). We confirmed that NF3 was not a major etch product since we did not detect NF2+ and NF3+ ions. Finally, the detection of NH3 remains challenging, primarily due to interference from H2O adsorbed on the chamber walls. View Supplemental Document (pdf) |
|
11:30 AM |
PS-TuM-15 Ion-Enhanced Synergistic Reactions in Cryogenic Plasma Etching with HF-Contained Gases
Shih-Nan Hsiao, Yusuke Imai, Sekine Makoto (Nagoya University, Japan); Ryutaro Suda, Yuki Iijima, Yoshihide Kihara (Tokyo Electron Miyagi Limited); Masaru Hori (Nagoya University) For over half a century, reactive ion etching (RIE) has served as a cornerstone of the semiconductor industry, driving the mass production of countless wafers daily. Its success lies in the ingenious interplay between reactive chemical gases and energized ions, a synergy that has revolutionized modern technology.However, as device architectures evolve, conventional RIE struggles to keep pace with the demands of intricate 3D structures featuring tiny dimensions and high aspect ratios. This growing complexity undermines the efficiency of its once-reliable synergistic reactions, resulting in a marked decline in throughput and posing significant challenges to modern fabrication processes. Recently, cryogenic plasma etching containing hydrogen fluoride (HF)-contained species has been reported to address these issues, due to its unique synergistic reactions between ion, surface physisorption species, and material surface [1-3].To understand the role of ions in synergistic reactions in cryogenic HF plasma, the dependences of bias voltage on etching characteristics and surface structure of the SiO2 with HF-contained plasmas were investigated. The feeding gas, including CF4/H2 and HF, was introduced through a showerhead distributor in the top electrode. In situ monitoring techniques, including spectroscopic ellipsometry and attenuated total reflectance Fourier transformation infrared spectroscopy (ATR-FTIR), were used to analyze the surface structure and etching characteristics. The substrate temperature (Ts) was controlled from 20 to –60 °C by circulating a coolant through the bottom electrode. As detailed in the supplemental document, the co-adsorption of HF and H₂O on a cooled substrate introduces a wet-like HF etching mechanism for SiO₂, characterized by an almost zero activation barrier when utilizing pure HF plasma. Interestingly, the etch rate demonstrates an exponential dependence on peak-to-peak bias voltage, deviating sharply from the typical linear relationship observed in conventional chemical-ion sputtering. In the CF₄/H₂ system, fluorocarbon deposition imposes a significantly higher energy threshold for etching. However, a similar trend between etch rate and bias voltage emerges within the high bias voltage regime, further highlighting the complex dynamics of this process. [1] Y. Kihara et al., VLSI symposium T3-2 (2023). [2] S.N. Hsiao et al., Small Methods, 8, 2400090 (2024). [2] S.N. Hsiao et al., Chem Mater. 36, 11042 (2024). View Supplemental Document (pdf) |
|
11:45 AM |
PS-TuM-16 Mechanistic Insights Into Cryogenic Plasma Etching of Sio2: Temperature, Power and Surface Reaction Dynamics
Yeon Geun Yook (University of Michigan); Hyunjae Lee, Sang Ki Nam (Mechatronics Research, Samsung Electronics Co); Mark J. Kushner (University of Michigan) In semiconductor manufacturing, overcoming the limitations of high aspect ratio (HAR) plasma etching is critical for continuous scaling of 3D devices. Aspect ratio dependent etching (ARDE) is the slowing of etch rate in as the process proceeds and aspect ratio (AR) increases. Cryogenic etching (CE), cooling the substrate to temperatures as low as -100 C, is emerging as a promising approach for achieving high etch rates and vertical profiles which are less sensitive to ARDE. CE etching of SiO2 is typically performed in capacitively coupled plasmas (CCPs) whose gas mixtures contain or produce HF. The formation of H2O through the reaction between HF and SiO2, and its subsequent condensation, is thought to act as a catalyst which enhances the etch rate. In conventional dielectric etching at ambient temperatures in CCPs, carbon-fluorine reactions dominate the etch process through formation of polymer passivation. The fundamental reaction mechanism responsible for the improved performance of CE has not been clearly defined. This presentation discusses results from a computational investigation of surface reaction dynamics during the cryogenic plasma etching of SiO2, employing the Hybrid Plasma Equipment Model (HPEM) and the Monte Carlo Feature Profile Model (MCFPM). Simulations were conducted for dual-frequency CCP reactors using CF4/H2/Ar gas mixtures. The temperature-dependent mechanistic differences between cryogenic and room temperature etching were analyzed through parametric analysis of adsorption probability, etch yield, specular reflection of scattering of high energy particles from surfaces, surface diffusion, redeposition, implantation, and condensation. Process parameters including source power (plasma and precursor density) and bias power (ion energy) were also investigated. When compared to conventional dielectric plasma etching, CE increases etch rates by factors of 2-3 with a minimum of bowing or tapering. We found that within estimated ranges of uncertainty, when varying these parameters no single process is uniquely responsible for the improved performance of CE. The improved performance requires synergistic improvements in several key parameters. This work was supported by Samsung Electronics. |
|
12:00 PM |
PS-TuM-17 Ammonium Fluorosilicate Salt Layer Dynamics during Etching of SiNx in a HF Plasma and Strategies for Controlling SiNx to SiO2 Etch Selectivity
Md Tanzid Hossain, Xue Wang (Colorado School of Mines); Prabhat Kumar, Thorsten Lill, Harmeet Singh, Mingmei Wang, Taner Ozel (LAM Research); Sumit Agarwal (Colorado School of Mines) During SiNx etching with HF plasma, ammonium fluorosilicate (AFS) forms as a transient byproduct, significantly influencing the etch behavior. While AFS formation during SiNx etching has been known for several decades, the underlying mechanism for its formation and removal remains unclear.In this study, we have usedin situ attenuated total reflection Fourier-transform infrared (ATR-FTIR) spectroscopy to study the changes in the chemical boning on the SiNx surface during reactive ion etching, along with the AFS formation and removal dynamics (Fig. 1). The steady state accumulation of AFS on the SiNx surface is determined by AFS formation from the etch products and AFS decomposition due to ion bombardment. Prior to etching, the plasma-deposited SiNx film’s surface is terminated with Si-NHx (x = 1, 2) bonds, and the neutrals in the HF plasma readily react with these species to form AFS, which is apparent from the increase in absorbance for the NH4+ bending and stretching modes at ~1430 cm⁻¹ and ~3000–3300 cm⁻¹, respectively, in Fig. 1. The etch rate of SiNx is high during the onset of etching due to the abundance of accessible reaction sites. As etching continues, an AFS layer forms, and the etch process likely becomes diffusion-limited as HF, H, and F neutrals have to diffuse through AFS to react with the underlying SiNx film. Therefore, after the initial burst of AFS formation on the H-terminated SiNx surface, the process becomes a dynamic competition between ongoing AFS synthesis and removal. On the other hand, on the SiO2 surface, as expected, there is no AFS residue observed on the surface due to the absence of N in the plasma or in the film. However, the etch rate for SiO2 is approximately ~3–4 times lower than that for SiNx under nominally similar conditions. For applications such as etching of alternating stacks of SiO2 and SiNx for the fabrication of 3-D NAND memory devices, it is desirable to etch SiNx and SiO2 at approximately the same rate. Our initial hypothesis is that AFS formation accelerates the etching of SiNx compared to SiO2 . Therefore, to promote the etching of SiO2 we nitrided the surface with an NH₃ plasma to create surface Si-NHx (x = 1, 2) species (see Fig. 2a). Subsequent HF plasma exposure revealed AFS formation on the SiO2 surface (Fig. 2b), but it lowered the SiO2 etch rate compared to the untreated surface. Therefore, to accelerate the etch rate of SiO2 in a HF plasma, we will explore other process parameters including the average ion energy during etching and surface nitridation, effect of diluents in the gas phase, and the substrate temperature. View Supplemental Document (pdf) |