AVS 71 Session AP-ThP: Atomic Scale Processing Poster Session

Thursday, September 25, 2025 4:30 PM in Ballroom BC
Thursday Evening

Session Abstract Book
(370 KB, Jun 15, 2025)
Time Period ThP Sessions | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule

AP-ThP-2 Thermal Atomic Layer Etching of Lanthanum Oxide Using Acetylacetone and Ozone
Aziz Abdulagatov, Jonathan Partridge (University of Colorado at Boulder); Charles Dezelah (ASM Microchemistry Ltd., Finland); Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of lanthanum oxide (La2O3) was demonstrated using sequential exposures of acetylacetone (Hacac) and ozone (O3). Hacac reacts with La2O3 by a ligand addition and hydrogen transfer reaction to form volatile La(acac)3 and H2O according to: La2O3 + 6Hacac → 2La(acac)3 + 3H2O. Ozone was then used to remove carbon residue resulting from Hacac exposure on the surface.

In situ spectroscopic ellipsometry (SE) was used to monitor the film thickness change with number of ALE cycles. SE observed the linear decrease of La2O3 film thicknesses versus number of Hacac and O3 cycles. Semicrystalline La2O3 thin films displayed etch rates of 0.2, 0.4 and 0.69 Å/cycle at 230, 250 and 270 oC, respectively. The SE studies also showed that the Hacac and O3 surface reactions were self-limiting.

Atomic force microscopy (AFM) analysis of semicrystalline La2O3 on Si with a thickness of 20 nm displayed surface smoothing versus ALE cycles.The RMS surface roughness was 3.3 Å prior to ALE and 0.9 Å after ALE. Quadrupole mass spectrometry (QMS) was also utilized to study the Hacac-O3 etch process on crystalline La2O3 powder at 250 oC. La(acac)3 organic fragments were detected during Hacac exposure.During O3 exposure, combustion products were observed from the oxidation of organic residuals left from Hacac exposures.

Hexafluoroacetylacetone (hfacH) has also been utilized instead of Hacac to etch La2O3. One advantage of Hhfac over Hacac is that Hhfac has a lower pKa value and hfac-metal complexes are generally more volatile. However, La2O3 ALE using hfacH and O3 displayed a substantially lower etch rate of 0.06 Å/cycle at 250 oC.This result was attributed to significant film fluorination by Hhfac as revealed by XPS analysis. Etching lanthanum fluoride using the Hhfac-O3 chemistry is more challenging.

AP-ThP-3 Spontaneous Etching of SiO2 by Co-Adsorbing Polar Molecules with HF
Marcel Junige, Steven M. George (University of Colorado Boulder)

Spontaneous etching is characterized by a physicochemical reaction of a thin film surface with a reactant vapor that releases volatile products with a continuous etch rate. Spontaneous etching provides the benefit of a single processing step with simply one etchant exposure, as well as typically high inherent selectivity.

Previous work has demonstrated that anhydrous HF vapor does not spontaneously etch SiO2. However, co-adsorbing ammonia (NH3) with HF has led to rapid SiO2 spontaneous etching. These results have suggested that the nature of the active etch species changes in the presence of NH3. Without co-adsorbed NH3, the active etch species is believed to be F. With the polar NH3 co-adsorbate, the active etch species is thought to switch to HF2. [Junige, George: Chem. Mater. 36, 6950 (2024)]

Co-adsorbing polar molecules with HF has been proposed to form HF2 species to enable SiO2 etching. Examples of suitable polar molecules include dimethylamine ((CH3)2NH: 1.0 D), NH3 (1.4 D), methanol (CH3OH: 1.7 D), water (H2O: 1.85 D), or ethylene glycol ((CH2OH)2: 2.28 D); where the number in parentheses refers to the dipole moment of the respective molecule in the gas phase. In theory, these polar co-adsorbates solvate HF and stabilize the dissociation products H+ and F. As a result of this more extensive HF dissociation, F species at increased concentration react further with HF to produce HF2 species.

In situ spectroscopic ellipsometry (iSE) experiments were performed to test the idea that other polar molecules co-adsorbed with HF may enable SiO2 spontaneous etching. These investigations revealed that co-adsorbing H2O or CH3OH with HF did not spontaneously etch SiO2 at 200 or 275℃. The adsorption and desorption kinetics of H2O or CH3OH molecules at SiO2 surfaces might not yield an adequate solvation layer at these elevated temperatures. In contrast, co-adsorbing DMA+HF enabled SiO2 spontaneous etching with a substantial etch rate of 34.70 Å/min at 200℃. Similar results have been observed previously for NH3+HF co-dosing at 275℃. These results suggested that co-adsorbing polar molecules with HF to form HF2 species can etch SiO2 if there is sufficient solvation. Co-adsorbing (CH2OH)2+HF, as well as (CH2OH)2 adsorbed layers on SiO2 surfaces, may be tested in future experiments.

AP-ThP-4 Selective growth of WSe2 through bioinspired seeding and vapor-based microreactor assisted nanoparticle deposition
Kylee Lamberson, Chih-hung Chang (Oregon State University)
Microelectronic and photovoltaic technologies rely on safe, scalable, bottom-up fabrication strategies for high-performance semiconducting materials. Tungsten selenide (WSe2), a transition metal dichalcogenide with a relatively large band gap, is particularly promising for micro- and optoelectronic applications. In this work, we introduce a bio-inspired approach and our patented vapor-based microreactor assisted nanoparticle deposition (V-MAND) to achieve area-selective atomic layer deposition (AS-ALD) of WSe2. Our selectivity strategy draws inspiration from the ovipositor mechanism of the parasitic wasp Diachasmimorpha longicaudata. This species detects a host’s location by their kairomones before depositing eggs. Similarly, we developed a seeding method using silica (SiO2) nanoparticles to mimic alcohol (OH)-containing kairomones, promoting localized nucleation of WSe2. Uniform, high-density OH coverage on the SiO2 was achieved via piranha treatment, enhancing chemical affinity with tungsten precursors. To perform AS-ALD on the seeded regions with tunable thickness, vaporized tungsten carbonyl (W(CO)6) and in situ generated hydrogen selenide (H2Se) were delivered using the V-MAND system in between alternating flows of inert gas. H2Se was safely produced on-demand by reacting solid selenium powder with forming gas, avoiding the need for bulk storage of this hazardous precursor. Growth behavior was investigated by adjusting precursor delivery rates. Film composition and structure were characterized using SEM, AFM, FTIR, and XPS. This study demonstrates a novel and safe strategy for AS-ALD of WSe2 and showcases the potential of V-MAND for scalable fabrication of 2D semiconductors.
AP-ThP-5 Selective Ruthenium Capping on Copper over SiO2: A Combined DFT and In Situ QCM Study
Yoonho Choi, Mi-Soo Kim, Okhyeon Kim, Tanzia Chowdhury, Khabib Khumaini, Hye-Lee Kim, Won-Jun Lee (Sejong University)

Metal capping plays a crucial role in enhancing the reliability of copper (Cu) interconnects. It has been also studied for low-temperature hybrid bonding of Cu pads. Recent studies have explored the selective deposition of ruthenium (Ru) as a capping material,1 but the underlying mechanism of this selective deposition remains unclear. In this study, we investigate the mechanism of selective Ru deposition on Cu surfaces in contrast to SiO2 by employing both density functional theory (DFT) calculations and in situ quartz crystal microbalance (QCM) analysis. DFT calculations simulate the chemisorption of the Ru precursor, (Me-CHD)Ru(CO)3, on various substrates, including Cu, OH-terminated SiO2, and CH3-terminated SiO2. We compare the reaction and activation energies for chemisorption with and without the addition of H2. Additionally, in situ QCM analysis allows us to monitor the growth behaviors on different surfaces simultaneously, enabling the determination of incubation periods and the maximum thickness of selective capping. The DFT simulations align closely with experimental observations, confirming the selective growth mechanism of Ru on Cu surfaces. Our findings provide critical insights into the selective deposition process, offering a deeper understanding of Ru capping for advanced interconnects.

References

1. Mandal A.K., van der Veen M.H., Haghighi N.R, Robin M., Claessens N., Meersschaut J., Jourdan N., Tokei Z., Delabie A., Adv. Mater. Technol. 2024, 9, 2301820.


AP-ThP-6 Atomic Scale Processing (AP7) Sustainable Semiconductor Manufacturing (SM): Oral Session (or Poster)DOE’s Sandia Project on Tunnel Field Effect Transistor (TFET) for 10X Microelectronics Energy Efficiency in a General Purpose Transistor. Desiree Salazar, E. Lozier, S. Misra6 and T. Kaarsberg1
Desiree Salazar (CLEAResult Energetics, DOE/AMMTO); Emilie Lozier (DOE-EERE); Shashank Misra (Sandia National Lab); Tina Kaarsberg (DOE-EERE)

Abstract—The United States Department of Energy (DOE) Advanced Materials and Manufacturing Technology Office (AMMTO) is leading a multi-organization effort to counter alarming trends in U.S. computing energy use (e.g. LBNL 2024 forecasts - lbnl-2024-united-states-data-center-energy-usage-report.pdf [https://eta-publications.lbl.gov/sites/default/files/2024-12/lbnl-2024-united-states-data-center-energy-usage-report.pdf] - that data centers will account for 26% of US electricity use by 2028 when cyrptomining is included) with its initiative in energy efficiency scaling for two decades (EES2) for microelectronics.Under this initiative, DOE/AMMTO has funded a portfolio of EES2 device technology R&D projects that promise >10X energy efficiency increase by 2030. This [talk] will highlight the first of these projects with Sandia National Laboratories to build on atomically precise manufacturing techniques to create a vertical tunnel field effect transistor (vTFET). Updates will be provided on the successful integration of front end of line (FEOL), back end of line (BEOL) and mid-end of line (MEOL) manufacturing processes (especially thermal budget) to fabricate this vTFET in a CMOS compatible process.One important discovery of the research in this area is “ultradoping” which makes the abrupt doping profiles needed for efficientvTFETs far more manufacturable. This talk also will present how these Sandia results integrate with version 1.0b of the EES2 roadmap that will be issued in Summer 2025. Version 1.0a of the Roadmap is available at EES2 Roadmap Version 1.0 [https://eere-exchange.energy.gov/FileContent.aspx?FileID=f4234e29-cc0c-4a56-a510-86b616ab5535] .

AP-ThP-7 Atomic Layer Deposition of Vanadium Oxide on Silicon Oxide and Kapton Substrates
Mohamed Asrif (North Carolina A&T State University)

Multilayer optical coatings play a vital role in the propagation of light in photonic devices through selective reflection, transmission, and absorption of specific wavelengths. Among transition metal oxides, Vanadium Oxide (VO2) shows significant promise due to its high corrosion resistance at low temperatures, high tensile strength, and high electrical conductivity. This work aims to enhance the performance and durability of optical coatings by depositing VO₂ thin films using Atomic Layer Deposition (ALD), a technique offering precise and conformal deposition of ultra-thin films with Angstrom-level thickness control at low temperatures, making it a preferred method of growing thin films on planar and nanostructured surfaces. Vanadium Oxide (VO2) films were synthesized on Silicon and Kapton Substrates by the ALD method using the precursor Tetrakis (ethylamino) vanadium (TEMAV). Results from XPS confirmed successful deposition, as the binding energies for vanadium (V2p ½ and V2p 3/2 orbitals were both present) and oxygen were both present, as well as residual traces of Carbon and Nitrogen. XRD measurements for the 7 nm sample and the 21 nm sample revealed that the films were amorphous, deposited at 150 °C. AFM results indicated mostly smooth surfaces with an RMS roughness value of between 0.2 and 0.3 nm. However, on a larger scale, that RMS roughness value increased to around 17 nm, indicating that there were signs of agglomeration in the deposition. Raman spectroscopy of the 21 nm sample exhibited spectral features corresponding to mixed oxidation states of vanadium, suggesting partial crystallinity post-annealing. Characterization of the 42 nm samples are still in progress. Post-deposition annealing at ~500 °C in ultra-high vacuum will be utilized to generate crystallization, then samples will undergo comprehensive determination of the structural and surface chemistry.

AP-ThP-8 Development of ALD-ZrN for Diffusion Barrier Layer in ULSI-Cu Interconnects
Jun Tanaka, Jun Yamaguchi, Noboru Sato, Naoki Tamaoki, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo, Japan)

To achieve higher performance and lower power consumption in ULSI devices, transistors have been continuously miniaturized and integrated at higher densities, resulting in the reduction of Cu interconnect linewidths. However, as the linewidth approaches the mean free path of electrons in Cu (~40 nm), the effects of inelastic electron scattering at grain boundaries and sidewall interfaces become non-negligible, leading to increased resistivity. Furthermore, the conventional diffusion barrier TaN, used to prevent Cu penetration into interlayer dielectrics, has a much higher resistivity than Cu (Cu:1.68 µΩ·cm, TaN:135 µΩ·cm), and its thickness reduction is limited due to the need to maintain barrier integrity. As a result, the proportion of Cu in the interconnect cross-section decreases with scaling, causing a sharp increase in line resistance. Additionally, increased resistance at the via bottom due to the barrier layer also becomes problematic.

In this study, we focused on ZrN as a novel diffusion barrier material. ZrN possesses the lowest resistivity (13.6 µΩ·cm) among transition metal nitrides [1] and maintains its barrier properties even after annealing at 500 °C [2]. To deposit ZrN films, we employed thermal atomic layer deposition (ALD), which is suitable for conformal coating in narrow damascene trenches. Zr[N(CH3)2]4 was used as the precursor, NH3 as the reactant gas, and N2 as the carrier/purge gas.

Figure 1 shows the thickness and resistivity of ZrN films deposited at 250 °C as a function of ALD cycles. Film thickness increased linearly with the number of cycles, indicating excellent controllability, although the resulting resistivity was not yet ideal. Figure 2 presents the growth per cycle (GPC) at various deposition temperatures, revealing a stable ALD window between 150 and 250 °C. Figure 3(a) shows the dependence of film density and resistivity on NH3 supply time for ZrN deposited at 200 °C, which lies within the ALD window. Increasing the NH3 supply time led to higher film density and lower resistivity. Since no significant change in film composition was observed by XPS (Fig. 3(b)), the densification is attributed to improved surface reactions during the NH3 pulse. When a film deposited with a 5 sec NH3 supply was etched using an Ar ion gun in the XPS chamber and its resistance measured, removal of the surface oxide layer significantly reduced the resistance (Fig. 4). Suppressing surface oxidation at elevated temperatures after deposition is expected to further reduce the resistivity.


[1] C. C. Wang et al., Journal of Materials Science, 30, 1627–1641 (1995).

[2] M.B. Takeyama et al., Japanese Journal of Applied Physics, 61 SJ0802 (2022).

View Supplemental Document (pdf)
AP-ThP-9 Comparative Evaluation of SiO2 Atomic Layer Etching Using NF3 and SF6 Gases via a Combined Thermal and Remote Plasma Approach
Min Kyun Sohn, Jieun Kim, Sun Kyu Jung, Min-A Park, Jin Ha Kim, Jaeseoung Park, Subin Heo, Sang-Hoon Kim, Jeong Woo Park, Seong Hyun Lee, Dongwoo Suh (Electronics and Telecommunications Research Institute)

Atomic Layer Etching (ALE) is a critical technology enabling atomic-scale precision in advanced semiconductor device fabrication. Although obtaining detailed etching characteristics from various fluorine-based gases is crucial for optimizing etch per cycle (EPC) and selectivity, experimental data on gases other than commonly used hydrogen fluoride (HF) or C-F combined gases remain limited. This study investigates silicon dioxide (SiO2) ALE processes utilizing sulfur hexafluoride (SF6) and nitrogen trifluoride (NF3) gases, employing a combined thermal and remote plasma-assisted approach at a process temperature of 300°C. The selection of SF₆ and NF₃ gases was guided by their distinct environmental impacts, radical generation efficiencies, and their potential effects on etching characteristics.

In this study, a surface modification approach using trimethylaluminum (TMA), followed by selective removal with remotely generated fluorine radicals, was systematically evaluated. By combining thermal isotropic surface modification with highly reactive fluorine radicals generated via remote plasma, this method effectively leverages the advantages of both isotropic thermal etching and plasma-enhanced high EPC. Experimental results indicated that NF₃ gas generated significantly higher fluorine radical densities than SF6 under identical thermal and remote plasma conditions, resulting in enhanced EPC. However, in the case of NF3 gas flow rates above 20 sccm, the significantly higher density of fluorine radicals generated expanded beyond the ALE regime into conventional plasma etching territory, limiting uniform atomic-level control. In contrast, fluorine radicals generated by SF6 remained within optimal quantities for true ALE conditions, even at a relatively high flow rate of 100 sccm. Additionally, the remote plasma-assisted method effectively minimized ion-induced surface damage, thus promoting superior etching quality.

Our findings highlight that selecting the appropriate gas (NF3 or SF6) based on specific process requirements is critical, as each gas offers distinct advantages. Future research will explore mixed-gas processes combining SF6 and NF3 to synergistically enhance their respective benefits and further optimize ALE performance.

AcknowledgmentsThis work was supported by the Electronics and Telecommunications Research Institute(ETRI) grant funded by the Korean government [25ZH1240]

View Supplemental Document (pdf)
AP-ThP-10 High-rate Isotropic Atomic Layer Etching of HfO2 with Fluorine Radicals and Metal Precursor
Jehwan Hong, Gyejun Cho, Hye-Lee Kim (Sejong University); Byungchul Cho (Wonik IPS); Won-Jun Lee (Sejong University)

Hafnium oxide (HfO2) thin films are widely used as high-k dielectrics in semiconductor devices due to their high dielectric constant and low leakage current. These films are mainly deposited by atomic layer deposition (ALD), which is generally known to produce films with ultra-smooth surfaces. However, the surface roughness of ALD-deposited films tends to increase with decreasing film thickness, which negatively affects device performance. To overcome this problem, a strategy has been proposed in which thicker ALD HfO₂ films are first deposited and then thinned by atomic layer etching (ALE) to obtain smoother ultrathin films [1]. Conventional thermal ALE using hydrogen fluoride (HF) allows atomic-scale etch control but suffers from slow reaction kinetics due to the low reactivity of HF, which limits process throughput. In particular, crystalline HfO2 films exhibit significantly lower etch rates than amorphous HfO2 films. In this study, ALE was performed by alternating exposure to fluorine (F) radicals and metal precursors. The self-limiting etch behavior was verified by in situ quartz crystal microbalance (QCM) measurements, and the etch rates were studied as a function of process temperature. The changes in the HfO2surface during the ALE process under different conditions were characterized to elucidate the etching mechanism. The F-radical-based ALE process developed in this study exhibits a higher etch rate than the HF-based ALE process. In particular, crystalline films show an etch rate similar to that of amorphous films, which is in contrast to the HF-based process. The effects of ALE on surface roughness and electrical properties were also analyzed, and the underlying causes of these changes were discussed.


References [1] S.M. George et al., ACS Nano 10 (2016) 4889–4894.

AP-ThP-11 Characterizing Remote Ar/H2 plasmas for Atomic Precision Processing
David Boris, Maria Sales, Peter Litwin, Michael Johnson, Mackenzie Meyer, Virginia Wheeler, Jeffrey Woodward, Scott Walton (U.S. Naval Research Laboratory)
In comparison to thermal atomic layer deposition (ALD) plasma-enhanced atomic layer deposition (PE-ALD) generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring process conditions to achieve desirable film characteristics. Among the approaches used to tailor film properties is the inclusion of Ar/H2 plasma exposures in the PEALD growth cycle as a means to either mitigate carbon contamination or as a reduction step that converts metal oxide films to metallic films. When employing these Ar/H2 plasma exposures however, control over the flux and energy of ions is needed to avoid unwanted damage to the growth surface. In addition, Ar/H2 plasmas produce atomic H radicals, and VUV photons which also need to be considered when choosing process conditions. In this work we aim to characterize the production of ions, atomic neutrals, and photons within remote Ar/H2 inductively coupled plasma sources commonly used for PEALD. The information gained in characterizing these systems will then be used to guide the choice of process conditions for PEALD growths involving Ar/H2 plasma exposures. Langmuir probe and retarding field energy analyzer (RFEA) measurements were used to characterize the charged particle flux within these systems, and optical emission and VUV emission spectroscopy was used to characterize the atomic H density and VUV photon characteristics respectively. This work is supported by the Office of Naval Research through the Naval Research Laboratory base program.
AP-ThP-12 From Inhibitor to Promoter: Role of Hexafluoroacetylacetone in Tailoring TiO₂ Growth on MgO Surfaces
Sanuthmi Dunuwila, John R. Mason, Andrew Teplyakov (University of Delaware)

Magnesium oxide (MgO) is a key material in electronic and optoelectronic devices due to its wide bandgap, optical transparency, and thermal stability. However, the performance of MgO-based multilayer systems is often limited by interfacial inconsistencies, especially when deposited via such techniques as sputtering, which introduce surface defects. Surface modification strategies have emerged to address these issues, particularly in enhancing compatibility with atomic layer deposition (ALD) processes.

This work explores the surface modification of sputter-deposited amorphous MgO films using 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (hfacH), a fluorinated β-diketone. Although this compound has been reported as a small-molecule inhibitor in selected ALD processes, this study demonstrates that hfacH acts as a growth promoter for TiO₂ deposition on MgO with thermal ALD that utilizes TDMAT and H₂O as co-reactants. Water contact angle (WCA) measurements confirm that hfacH alters the MgO surface from hydrophilic to hydrophobic, yet TiO₂ nucleation is enhanced on the modified surface, challenging conventional interpretations of surface energy and precursor accessibility.

This study uses a suite of primary surface characterization tools, X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), time-of-flight secondary ion mass spectrometry (ToF-SIMS), X-ray diffraction (XRD), and scanning electron microscopy (SEM) to confirm successful TiO₂ deposition.

These findings challenge the prevailing notion of hfacH as a growth inhibitor and highlight its context-dependent behavior. The modified surface facilitates nucleation, likely due to altered surface energy and local chemical environment, suggesting a potential role for hfacH as a growth promoter. This study contributes to the understanding of molecular surface chemistry and offers new insight into improving interface quality in multilayer oxide systems.

By redefining the function of fluorinated ligands in ALD chemistry, this work opens opportunities for more controlled and efficient deposition strategies in advanced electronic device fabrication.

Session Abstract Book
(370 KB, Jun 15, 2025)
Time Period ThP Sessions | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule