AVS 71 Session AP+PS+TF-WeA: Thermal and Plasma enhanced Atomic Layer Etching

Wednesday, September 24, 2025 2:15 PM in Room 206 A W
Wednesday Afternoon

Session Abstract Book
(407 KB, Jun 15, 2025)
Time Period WeA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule

Start Invited? Item
2:15 PM AP+PS+TF-WeA-1 Selectivity During Spontaneous Dry Thermal Etching of Si-Based Materials by Hydrogen Fluoride
Marcel Junige, Micah Duffield, Steven George (University of Colorado at Boulder)

Spontaneous dry thermal etching involves reaction of a thin film surface with a gaseous etchant leading to material removal with a constant etch rate. Spontaneous dry thermal etching can often be involved as a competitive process during thermal atomic layer etching (ALE). Selectivity can occur during spontaneous dry thermal etching with hydrogen fluoride (HF) because HF can form different etch species, F- or HF2-, that etch with material specificity. For example, F- can etch SiNx and HF2- can etch SiO2. The nature of the active HF etch species can be controlled by the HF environment. HF alone yields F- etch species. HF together with a polar co-adsorbate can yield HF2- etch species.

The talk will discuss four examples of HF selectivity: SiNx etch vs SiO2 non-etch; Si etch vs Si3N4, SiCOH and SiO2 non-etch; Si etch vs Si non-etch with co-adsorbed H2O; and SiO2 non-etch vs SiO2 etch with co-adsorbed NH3 or (CH3)2NH (dimethylamine). The experiments were conducted using in situ spectroscopic ellipsometry to monitor the film thicknesses during time to obtain etch rates. Additional quadrupole mass spectrometry (QMS) analysis was able to monitor the presence or absence of etch products during the experiments for Si etch vs Si non-etch with co-adsorbed H2O.

Selective SiNx etch vs SiO2 non-etch was observed for HF etching at 275°C. Etch selectivity was measured for HF pressures from 0.5 to 9.0 Torr. SiNx : SiO2 etch selectivity approached a maximum of 150 : 1 at 9.0 Torr. These results are consistent with F- as the active etch species that yields SiNx etching. Si etch vs Si3N4, SiCOH and SiO2 non-etch was also demonstrated for HF etching at 275°C and an HF pressure of 3 Torr. Crystalline Si etched at 23 Å/min. In comparison, Si3N4, SiCOH and SiO2 etched at much smaller rates of 0.03, 0.11 and 0.01 Å/min, respectively. Much higher Si etch rates were observed at higher HF pressures at 275 °C. The Si etch rate increased to 240 Å/min at an HF pressure of 9 Torr.

Si etch vs Si non-etch with co-adsorbed H2O illustrated the influence of polar co-adsorbed species on the etching. QMS experiments revealed that Si was etched by HF at a pressure of 1 Torr with a temperature threshold at ~150°C. In contrast, co-dosing H2O at a pressure of 1 Torr eliminated Si etching. These results suggest that F- is the active etch species for Si etching. QMS experiments also identified the volatile etch products as H2 and SiF4. SiO2 non-etch vs SiO2 etch with co-adsorbed NH3 or (CH3)2NH also supported the idea that polar co-adsorbates convert the HF active species to HF2-. Without polar co-adsorbates, F- species do not etch SiO2. With polar co-adsorbates, HF2- species can etch SiO2.

2:30 PM AP+PS+TF-WeA-2 ZrO2 Thermal Atomic Layer Etching Using HF for Fluorination and TiCl4 for Ligand Exchange: Effect of Processing Parameters
Chen Li, Troy Colleran (University of Colorado Boulder); Beomseok Kim, Hanjin Lim (Samsung Electronics Co.); Steven George (University of Colorado Boulder)
ZrO2 thermal atomic layer etching (ALE) can be performed using sequential surface modification and volatile release reactions. HF fluorinates the ZrO2 surface to form a ZrF4 layer. TiCl4 then undergoes ligand-exchange and volatilizes the ZrF4 layer. In this study, the etch rate of ZrO2 ALE was evaluated as a function of various processing parameters such as pressure, temperature and exposure time. The initial ZrO2 films were grown by atomic layer deposition (ALD) using tetrakis(diethylamino) zirconium and H2O. The processing parameters during ZrO2 thermal ALE were examined using various techniques including quartz crystal microbalance (QCM), x-ray reflectivity (XRR), atomic force microscopy (AFM) and quadrupole mass spectrometry (QMS).In situ QCM experiments examined ZrO2 ALE at HF pressures from 0.1 to 0.9 Torr with fixed TiCl4 pressure and at TiCl4 pressures from 0.2 to 2 Torr with fixed HF pressure. The mass of the ZrO2 film decreased linearly with number of ALE cycles. The higher HF and TiCl4 pressures led to higher ZrO2 etch rates. However, self-limiting behavior was observed at both low and high HF and TiCl4 pressures. The ZrO2 etching rates were also observed to increase at higher temperatures. These results illustrate that self-limiting reactions can occur over a range of reactant pressures and temperatures. At higher reactant pressures, the QCM analysis measured mass change per cycle (MCPC) values that varied from −49.4 to −118.6 ng/(cm2 cycle) at 200 and 300 °C, respectively. These MCPCs correspond to ZrO2 etch rates from 0.87 to 2.09 Å/cycle at 200 and 300 °C, respectively. XRR measurements also confirmed the linear removal of ZrO2 versus number of ALE cycles and the etch rates. AFM measurements also studied the roughness of crystalline ZrO2 films after ALE. These crystalline films contained a mixture of monoclinic and tetragonal phases. The surface roughness increased with number of ALE cycles. However, higher precursor pressures at high temperatures produced a lower roughness increase. In addition, QMS analysis revealed the volatile etch products during the sequential HF and TiCl4 exposures on ZrO2 at 200, 250 and 300 ℃. The signal intensity of the etch products increased at higher temperatures. H2O was monitored during the HF exposure when HF fluorinates ZrO2 to produce ZrF4. ZrCl4 was observed as the etch product and TiFCl3 was detected as the ligand-exchange product during the TiCl4 exposure. These products confirm the ligand-exchange reaction between TiCl4 and ZrF4.This project was supported by Samsung Electronics Co., Ltd (IO230707-06660-01). View Supplemental Document (pdf)
2:45 PM AP+PS+TF-WeA-3 SiO2 Etching by HF in a Liquid-Like H2O Layer in a Vacuum Environment
Samantha Rau, Micah Duffield (University of Colorado at Boulder); Antonio Rotondaro, Hanna Paddubrouskaya, Kate Abel (Tokyo Electron America, Inc.); Steven George (University of Colorado at Boulder)

Adsorbed H2O layers may be employed for etching by a liquid layer in a vacuum environment. Liquid-like H2O layers can form at H2O pressures around 10 Torr and temperatures around room temperature. Etchants may then be dissolved in the liquid-like H2O layers. These conditions allow many etching processes that are conducted in wet aqueous solutions to be extended to liquid-like H2O layers in vacuum.

This study focused on SiO2 etching by HF in a liquid-like H2O layer in vacuum. The experiments were conducted in a warm-wall vacuum chamber designed with a sample stage that allowed for H2O liquid layer formation only on the cooled stage. The thickness of SiO2 films was measured using in situ spectroscopic ellipsometry as the SiO2 films were exposed to various H2O and HF pressures at different substrate temperatures. Studies were conducted at H2O pressures from 5 to 30 Torr, HF pressures from 2 to 6 Torr, exposures time from 2 to 20 s, and temperatures from 18.1 to 30.4 °C. The SiO2 films etched readily under these conditions.

The SiO2 etch rate increased versus HF pressure. Figure 1 shows that as the HF pressure was increased from 2 to 6 Torr, at 30.4 °C with a H2O pressure of 15 Torr and exposure time of 5 s, the SiO2 etch rate increased from ~14 Å/exposure to ~3315 Å/exposure, respectively. The SiO2 etching also increased versus H2O pressure. Figure 2 shows that as the H2O pressure was increased from 10 to 30 Torr, at 30.4 °C with a HF pressure of 3.5 Torr and exposure time of 5 s, the SiO2 etch rate increased from ~10 Å/exposure to ~105 Å/exposure, respectively. The dramatic variation in SiO2 etch rates suggests that the thickness and composition of the liquid-like layer may be changing rapidly with HF and H2O pressure.

The SiO2 etch rate also increased versus exposure time. As the exposure time increased from 2 to 20 s, at 30.4 °C with a H2O pressure of 10 Torr and HF pressure of 3.5 Torr, the SiO2 etch rate increased from ~6 Å/exposure to ~150 Å/exposure, respectively. The SiO2 etch rate was also inversely dependent on sample temperature. Experiments were conducted at temperatures of 30.4°C, 27.2°C, and 18.1°C with a H2O pressure of 15 Torr, HF pressure of 3.5 Torr, and exposure time of 5 s. These studies yielded SiO2 etch rates of ~33 Å/exposure, ~1564 Å/exposure, and ~3456 Å/exposure, respectively. The large increase of the SiO2 etch rate is attributed to the thicker liquid-like layer at lower temperatures. The thicker liquid-like layer may be able to more easily solvate the HF reactants and SiO2 etch products.

View Supplemental Document (pdf)
3:00 PM AP+PS+TF-WeA-4 Wet-Like Atomic Layer Etching of WCN by Applying the Leidenfrost Effect to Obtain Floating Nanomist-Assisted Vapor Etching
Thi-Thuy-Nga Nguyen (Nagoya University, Japan); Kazunori Shinoda, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa (Hitachi High-Tech Corp., Japan); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Semiconductor devices have been miniaturized to the nanometer scale. Work function metals, made from various metals like TiAlC, TiC, TiN, and WCN, are used in field effect transistor gate stacks. Precise control of isotropic and selective atomic layer etching (ALE) of thin metal gate materials in 3D nanostructures is crucial for the next-generation logic semiconductor devices. This requires minimizing damage from sputter effects in plasma ALE, high temperatures in thermal ALE, and pattern collapse in wet ALE. In our previous study, we developed a wet-like plasma etching method for a ternary metal carbide TiAlC [1]. This technique combines the advantages of wet etching (high isotropy and selectivity) and dry etching (high controllability). By using high-density vapor plasma at medium pressures, we generated a rich radical source of reactive species to significantly increase the reaction rate with the sample surface. This opens an avenue for developing our new dry ALE method, named wet-like ALE.

Here we have demonstrated the wet-like ALE for WCN material by sequentially exposing it to a rich radical source of O2 plasma for surface oxidation at a relatively low temperature of less than 40 oC and removal of the modified layer (WO3) by dissolving it in a highly volatile nanomist flow. The proposed nanomist phase is a mist-vapor phase with properties between the mist liquid and vapor phases, maintaining the wet properties of the liquid phase at a minimal mist size for nanodevice applications. At the Leidenfrost point, the nanomist floats on its own stable vapor cushion film over the whole sample surface [2]. By using the Leidenfrost effect, the modified layer can be dissolved in a stable vapor film existing under the floating nanomist or in a floating nanomist-assisted vapor. The nanomists were generated from liquids by our originally developed non-contact atomizer at room temperature. The high removal rate of the modified layer (WO3) was obtained at a temperature higher than 130 oC that is considered as the Leidenfrost point of the nanomist produced from the aqueous liquid mixture, in which the WCN surface is supposed to be etched by the floating nanomist-assisted vapor at medium pressures. Self-limiting oxidation and removal of WCN by nanomist were achieved in both steps of the wet-like ALE cycle.

Acknowledgement

We would like to thank Dr. Yoshihide Yamaguchi (Hitachi, Ltd., Japan) and Mr. KuangDa Sun (Nagoya University) for the previous discussions about Leidenfrost effect and mist generation, respectively.

[1] T.T.N. Nguyen et al., Sci. Rep. 12, 20394 (2022).

[2] B.S. Gottfried et al., Int. J. Heat Mass Transf. 9, 1167-1187 (1966).

3:15 PM AP+PS+TF-WeA-5 Thermal Atomic Layer Etching of Hafnium–Zirconium Oxide (HZO) Using Organofluorides for Fluorination
Aziz Abdulagatov, Jonathan Partridge (University of Colorado at Boulder); Matthew Surman (ASM Microchemistry Ltd., Finland); Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of various materials has previously been achieved using sequential fluorination and ligand exchange reactions where HF has been used as the fluorination source.In this work, organofluorides were employed as an alternative to HF.The thermal ALE of Hf0.5Zr0.5O2 (HZO) was demonstrated using various organofluorides.The organofluorides were N,N-Diethyl-1,1,2,3,3,3-hexafluoropropylamine (Ishikawa’s reagent (IR)), 1,1,2,2-tetrafluoroethyldimethylamine (TFEDMA) and diethylaminosulfur trifluoride (DAST). IR, TFEDMA and DAST are common deoxyfluorination reagents.

HZO ALE was demonstrated using organofluoride exposure in combination with ozone (O3) and boron trichloride (BCl3) exposures. Ozone was used to remove carbon residue resulting from organofluoride adsorption. BCl3 was employed for ligand exchange with the fluorinated surface to form volatile Hf and Zr chlorides and BClxFy products. BCl3 can also undergo conversion with HZO.

In situ spectroscopic ellipsometry (SE) observed the linear decrease of HZO film thickness. Under similar reaction conditions at 270 °C, crystalline HZO films with a thickness of 10 nm displayed etch rates of 0.1, 0.2, and 0.5 Å/cycle, using IR, TFEDMA, and DAST, respectively. Etching amorphous HZO using IR yielded higher etch rates of 0.6 Å/cycle at 270 oC. The IR, O3 and BCl3 surface reactions were also determined to be self-limiting.

Quadrupole mass spectrometry (QMS) was also utilized to study the IR-O3-BCl3 etch process on crystalline ZrO2 powder at 270°C. During IR exposure, organic fragments and HF were detected indicating that HF is produced in situ by IR at 270 °C.During O3 exposure, combustion products were observed from the oxidation of organic residuals left from IR exposures. During BCl3 exposure, Hf and Zr chloride products, as well as BClxFy products, were produced by the ligand-exchange reactions. Concurrently, boroxine ring (B3O3Cl3) fragments were monitored and indicated the conversion of HZO to B2O3.

3:30 PM AP+PS+TF-WeA-6 Selective Atomic Layer Etching of SiO2 over Si3N4 via TMA Surface modification and SF6 Remote Plasma 
Jieun Kim, Min Kyun Sohn, Sun Kyu Jung, Min-A Park, Jin Ha Kim, Jaeseoung Park, Subin Heo, Sang-Hoon Kim, Jeong Woo Park, Seong Hyun Lee, Dongwoo Suh (Electronics and Telecommunications Research Institute)

Precise etch selectivity between SiO2 and Si3N4 is critical in advanced semiconductor fabrication processes, especially for applications such as spacer patterning in Gate-All-Around Field-Effect Transistors (GAAFETs) and multilayer structuring in 3D NAND devices. While selective etching of Si3N4 over SiO2 has been widely studied using plasma chemistries such as SF6/H2/Ar/He, NF3/O2, and CF4/O2/N2 gas mixtures,1-3 achieving atomic-scale precision in the reverse case —preferentially etching SiO2 over Si3N4—remains challenging.

In this work, we present an atomic layer etching (ALE) approach that enables highly selective etching of SiO2 over Si3N4 through surface chemical engineering. The process sequence comprises four steps —trimethylaluminum (TMA) surface modification, Ar purge, SF6 remote plasma exposure, and Ar purge— perfomed at 300 °C, 5 Torr, with an SF6 flow rate of 50 sccm.

Under standard SF6 plasma conditions, Si3N4 is typically etched more rapidly than SiO2 due to the greater susceptibility of Si–N bonds to fluorine radicals. However, we found that incorporating a TMA surface modification step effectively inverts this trend. Chemical interactions at the surface are believed to yield Al–O–Si linkages on SiO2 and Al–N–Si on Si3N4, leading to distinct reactivities during subsequent F-radical exposure. The Al–O–Si sites promote the formation of volatile AlF3 and SiF4, whereas Al–N–Si structures exhibit much lower fluorine reactivity.

This chemistry-driven mechanism enabled a marked difference in etch per cycle EPC, with SiO2 reaching 0.49 Å/cycle and Si3N4 reaching 0.05 Å/cycle, resulting in a selectivity close to 10:1. Whereas conventional atomic layer plasma etching using CH2F2/O2/N2 gas mixtures achieves selectivity through physical passivation or polymer deposition—often leading to surface damage or limited thickness control—our method, based on surface chemical modification and remote plasma exposure, enables damage-free etching and precise, layer-by-layer thickness control by decoupling chemical reactivity from ion bombardment. These findings suggest that surface modification-based selectivity tuning can serve as a viable strategy for precision etching in next-generation logic and memory device integration.

Reference

1. Proceedings of the International Conference on Advances and Applications in Plasma Physics (Aapp 2019), 2019.

2. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 1989, 7 (3), 686-690.

3. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 1999, 17 (6), 3179-3184.

4. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 2021, 39 (5).

3:45 PM BREAK
4:15 PM AP+PS+TF-WeA-9 Damage-Free Atomic Layer Etching of SiO₂ Using Ultra-Low Electron Temperature Plasma
Junyoung Park, Nayeon Kim, Jung-Eun Choi, Yujin Yeo, Min-Seok Kim, Chang-Min Lim, Beom-Jun Seo, Chin-Wook Chung (Hanyang University, Korea)
This work proposes an atomic layer etching (ALE) process utilizing ultra-low electron temperature (ULET) plasma, a damage-free plasma technique. The ULET plasma effectively suppresses charging and radiation damage due to its extremely low electron temperature, while its narrow ion energy distribution enables precise control of ion energy. These properties of ULET plasma facilitate faster CF polymer deposition during the surface modification step and induce sputtering at higher Vdc. After ULET plasma ALE, the surface roughness is approximately 3 nm, which is about one-fifth the level of that obtained with conventional plasma processes. In addition, the ALE process window is twice as wide as that of traditional methods, significantly improving process stability. These characteristics demonstrate that ULET plasma-based ALE is a promising technology for damage-free, atomic-scale etching required in next-generation semiconductor manufacturing.
4:30 PM AP+PS+TF-WeA-10 Atomic Layer Etching of Sputter-Deposited Aln Thin Films in Cl2-Ar Plasmas
Iurii Nesterenko (Silicon Austria Labs GmbH); Jon Farr, Steffen Harzenetter (Applied Materials, Inc.); Dmytro Solonenko, Benjamin Kalas, Thang Dao (Silicon Austria Labs GmbH); Julian Schulze (Ruhr University Bochum); Nikolai Andrianov (Silicon Austria Labs GmbH)

Aluminum nitride (AlN) is a widely used material in micro- and nanoelectronics, particularly in photonics and MEMS devices. However, one of the critical challenges in the fabrication of AlN-based devices is achieving precise nanoscale etching while maintaining smooth surfaces and well-defined etch profiles. Atomic Layer Etching (ALE) is a promising approach the above-mentioned problems, which are particularly crucial in photonic applications, where surface roughness and deviations in profile angles can result in optical losses and inefficient mode confinement.

This study investigates the ALE of AlN thin films deposited via sputter deposition on an 8-inch wafer. The wafer was diced into 2 × 2 cm coupons, which were then attached to a SiO₂ thermal oxide carrier wafer. The experiments were performed in an Applied Materials™ Centura™ DTM Chamber using Cl₂ and Ar gases for the modification (Cl step) and ion bombardment (Ar step) steps, respectively. The thickness of the AlN thin films was measured via spectroscopic ellipsometry (Semilab SE-2000). Also, the ion energy distribution function (IEDF) was analyzed using an ion energy analyzer (Impedance Quantum).

The feasibility of ALE for sputter-deposited AlN thin films was successfully demonstrated. The etch per cycle (EPC) was found to be approximately a single monolayer of the wurtzite AlN crystal structure (Fig.1). The ALE energy window was determined by analyzing the IEDFs in the Ar step, revealing the energy range of around 75 eV, which is consistent with the previously reported data in the literature [1]. Furthermore, measurements of the AlN sputtering threshold under Ar bombardment (Fig. 1) indicated minimal sputtering contributions, suggesting that the synergy of the process could approach 100%. Further investigations will be conducted to quantify this synergy more accurately. Moreover, it was determined that the process is linear, e.g. the EPC is constant against the number of cycles (Fig.2). The AlN RMS roughness after processing within the ALE energy window is around 570pm (Fig.3), which is lower than the original material roughness of 3nm.

Future work will also focus on optimizing the process by minimizing the duration of the Ar, Cl, and purge steps. The optimization of the Cl step will be complemented by X-ray Photoelectron Spectroscopy (XPS) to gain deeper insights into the surface chlorination mechanism.

[1] T. Faraz, Y. G. P. Verstappen, M. A. Verheijen, N. J. Chittock, J. E. Lopez, E. Heijdra, W. J. H. Van Gennip, W. M. M. Kessels, and A. J. M. Mackus, Precise ion energy control with tailored waveform biasing for atomic scale processing, Journal of Applied Physics 128, 213301 (2020).

View Supplemental Document (pdf)
4:45 PM AP+PS+TF-WeA-11 Sub-Surface TiO2 Atomic Layer Etching (ALE) Through W Films
Hannah Margavio, Gregory Parsons (North Carolina State University)

The growing complexity of microelectronic architectures requires the development of novel atomic-scale fabrication techniques. Traditional semiconductor processing relies on separate deposition and etching steps. For example, a common fabrication technique known as etch-replacement deposition proceeds with W atomic layer deposition (ALD) and TiO2 chemical vapor etching (CVE) occurring locally via SiH4 and WF6 exposure, yielding a W film thickness roughly equal to the removed TiO2 film. In the etch replacement process, WF6 converts TiO2 into an intermediate solid phase, TiWOxFy, which becomes volatile upon further WF6 exposure. Alternatively, TiWOxFy can be reduced by SiH4, resulting in a W-rich film.

In this work, we address the need for advanced and unique processing for more complex metal nanostructures using atomic layer etching (ALE). We demonstrate the fabrication of intricate metal architectures via sub-surface etching of TiO2 by controlling WF6, MoF6, and BCl3 etching conditions after W ALD. First, 30 W ALD cycles were deposited on TiO2/Si line patterns resulting in ~20 nm of W deposition on TiO2. Following deposition, the film stack was exposed to 10, 80, and 150 WF6 individual doses. After WF6 exposure, it was found the W layer remained and the underlying TiO2 layer was etched away as a function of CVE cycles, creating an air gap between the patterned TiO2 lines and the W layer. We will show when additional WF6 doses were exposed to the film stack, the air gap spacing increased. Similarly, MoF6 doses after W ALD initiated sub-surface TiO2 CVE. With MoF6, we were able to elucidate the sub-surface etching mechanism via STEM EDS mapping; we observed metal fluoride diffused through the W film to react with the underlying TiO2, while etch products diffused out. Compared to WF6 and MoF6 driven CVE, ALE using sequential WF6 and BCl3 doses accelerated etching and allowed greater control of TiO2 removal. By integrating W ALD and TiO2 ALE with sequential WF6 and BCl3 cycles on patterned TiO2 structures, unique film stacks with tunable, uniform air gaps were fabricated.

5:00 PM AP+PS+TF-WeA-12 Pulsed Plasma Strategies for High-Precision Pseudo-Atomic Layer Etching
Maryam Khaji (University of Michigan); Qinzhen Hao, Mahmoud A. I. Elgarhy, Jeremy Mettler (University of Houston); Hyunjae Lee, Sang Ki Nam (Mechatronics Research, Samsung Electronics Co); Vincent Donnelly (University of Houston); Mark J. Kushner (University of Michigan)

Conventional plasma-based atomic layer etching (ALE) involves two self-limiting steps: passivation, where radicals (e.g., Cl) passivate the top layer of the substrate (e.g., silicon) to form SiClx; and etching, where the passivated layer is selectively removed by an ion-rich flux with its energy tuned to etch only the passivated material [1]. In spite its high precision, ALE is time-consuming due to the need to evacuate the chamber between steps and so is challenged to incorporate into high volume manufacturing (HVM). Strategies are needed to maintain the precision of ALE while increasing its processing speed.

In this work, we report on a computational investigation of strategies to achieve rapid and precise Pseudo-Atomic Layer Etching (P-ALE) processes. This investigation is conducted for an inductively coupled plasma (ICP) reactor with RF or dc power applied to the substrate using Ar/Cl2 mixtures for Si etching. Reactor scale plasma properties are addressed using the Hybrid Plasma Equipment Model (HPEM). Feature profile evolution is evaluated using the Monte Carlo Feature Profile Model (MCFPM) [2].

We will discuss strategies for P-ALE whose goal is to maintain the dual-process (passivation-etching) of conventional ALE while using a single gas mixture. These strategies use combinations of pulsed source (ICP) and bias powers, and electrode biasing, that produce a passivation phase where ion energies are low, and that appears to be ion starved; followed by rapid etch phase where additional passivation is low, and that appears to be neutral starved. To achieve these ends, plasma potential and dc bias must be carefully managed. Comparisons are made to experimental data.

This work was supported by Samsung Electronics and the Department of Energy Office of Fusion Energy Sciences.

[1] C. Huard et al., J. Phys. D: Appl. Phys. 51 155201 (2018)

[2] C. Huard et al., J. Vac. Sci. Tech. A 35, 05C301 (2017).

5:15 PM AP+PS+TF-WeA-13 Development of Atomic Layer Etching Process Dedicated to Diamond Electronic Devices
Marine Régnier (Univ. Grenoble Alpes, CNRS, Grenoble INP, Institut Néel; Institute of Applied Physics, University of Tsukuba; Japanese-French Laboratory for Semiconductor Physics and Technology J-FAST, CNRS, Univ. Grenoble Alpes, University of Tsukuba); Aboulaye Traoré (LSPM, CNRS, Université Sorbonne Paris Nord); Marceline Bonvalot (Univ. Grenoble Alpes, CNRS, Grenoble INP, LTM; Japanese-French Laboratory for Semiconductor Physics and Technology J-FAST, CNRS, Univ. Grenoble Alpes, University of Tsukuba); Etienne Gheeraert (Univ. Grenoble Alpes, CNRS, Grenoble INP, Institut Néel; Institute of Applied Physics, University of Tsukuba; Japanese-French Laboratory for Semiconductor Physics and Technology J-FAST, CNRS, Univ. Grenoble Alpes, University of Tsukuba)

Diamond power devices, such as Schottky diodes and MOSFETs are currently being intensively investigated for possible application in power electronics and require dedicated fabrication processes to achieve adequate operating performances. Conventional etching techniques often lead to defects, surface roughness and sub-surface damages, which can significantly degrade carrier mobility and breakdown voltage of power devices. Thus, it becomes essential to develop diamond etching processes minimizing induced defects. Atomic layer etching (ALE) is a very soft etching technique involving two successive self-limiting and independent reactions. The first self-limiting reaction involves modifying the surface of a material by forming an ultra-thin reactive surface layer, while the second self-limiting reaction consists in the sputtering of the modified layer while keeping the underlayer intact. The repetition of these two reactions allows the removal of a layer of materials with a defect-free etched surfaces and sub-surfaces at atomic-scale precision. The first report of ALE of diamond dates back to 1988 [1], however, since then, no further studies have been reported.

In this work, the ALE process optimization of (100) diamond is presented. The ALE process is achieved by first modifying the surface and then using a soft plasma to induce the selective removal of this modified surface. Experiments have been performed in a standard inductively coupled plasma reactive ion etching equipment with in-situ plasma monitoring by optical emission spectroscopy. They have been characterized as a function of the etching rate per cycle (EPC) estimated from diamond etched depth after 100 ALE cycles. The impact of the incident ionic bombardment kinetic energy during the 2nd ALE reaction has been evaluated from the dc self-bias voltage (VDC). Results show a clear plateau of approximatively 5 V (Fig. 1), called ALE window, demonstrating the self-limiting effect of the etching process within one ALE cycle. The etching rate is of 7.1 Å per cycle, corresponding to the removal of two (100) diamond monolayers per cycle. Finally, synergy factor has been calculated. Synergy measures the effect of combining the two ALE steps. Separately, 100 cycles of step 1 then 100 cycles of step 2 leads to an etching rate of 4.0 Å per cycle. But 100 cycles of (1+2) steps lead to 7.1 Å per cycle, i.e. a synergy of 43%. This again demonstrate the effectiveness of the ALE process.

All these results will be presented in detail and discussed in the light of literature data.

References

[1]M.N. Yoder, Atomic Layer Etching, US4756794A, 1988.

View Supplemental Document (pdf)
5:30 PM AP+PS+TF-WeA-14 Atomic Layer Etching of Yttrium Orthovanadate Using Sequential Exposures of H2 and SF6/Ar Plasmas
Mariya Ezzy, Emanuel Green, Andrei Faraon, Austin Minnich (California Institute of Technology)

Yttrium orthovanadate (YVO4, YVO) is a promising host crystal for rare-earth ion (REI)-based quantum interfaces, such as ensemble-based quantum memories and single REIs in nanophotonic cavities, because of its high symmetry and high oscillator strength transitions. However, nanofabrication techniques for such complex oxide crystals are currently limited to physical etching techniques such as focused ion beam (FIB) milling. These physical etching techniques limit the quality factor (Q) of these nanophotonic resonator cavities, which are an order of magnitude less than their theoretical predictions, largely due to surface roughness scattering losses. Atomic layer etching (ALE) has the potential to mitigate this because of its ability to smooth surfaces down to the sub-nanometer scale. Here, we report the first ALE process for YVO using an H2 plasma modification step followed by an SF6/Ar plasma removal step. Preliminary results indicate an etch rate of 0.35 Å per cycle. The etch rates, surface morphology, and surface chemical composition are characterized using atomic force microscopy and x-ray photoelectron spectroscopy (XPS). The effect of ALE on the Q factor of FIB-milled nanophotonic cavities will also be discussed.

5:45 PM AP+PS+TF-WeA-15 Mechanisms of Atomic Layer Etching of Ni3Al
Taylor G. Smith (University of California, Los Angeles); Jean-François de Marneffe (IMEC); Jane P. Chang (University of California, Los Angeles)

New metals and alloys are being investigated as potential replacements to TaBN in the absorber layer of extreme ultraviolet (EUV) lithography masks. Among potential candidates, Ni3Al is particularly promising because it has both a high extinction coefficient and an index of refraction close to 1. A major hurdle in integration is anisotropically etching Ni3Al selective to Ru, the 2-3 nm capping layer underneath the Ni3Al absorber, with previously developed reactive ion etch and oxygen plasma-based atomic layer etch (ALE) having selectivities of 0.4 and 0.6, respectively. Better selectivity could be obtained through an ALE process based on cycles of nitrogen plasma, which does not spontaneously form volatile Ru compounds.

In this work, a Ni3Al ALE process using nitrogen plasma, formic acid vapor, and Ar+ ion beam sputtering is investigated. The three step ALE process was shown to etch blanket Ni3Al films at a rate of 1.0 nm/cycle. The self-limiting nature of the ALE process was examined by varying the duration of the nitridation, FA vapor, and Ar+ ion beam steps one at a time and measuring the resulting etch rate per cycle after 10 ALE cycles. These experiments showed that increasing the low energy Ar+ ion beam served only to remove residual formate from the surface prior to starting the subsequent ALE cycle and was not responsible for etching the Ni3Al. The anisotropy of the Ni3Al ALE process was examined using specially prepared samples of Ni3Al deposited over patterned Si which had an initial sidewall Ni3Al thickness of 17 nm. Scanning electron microscopy (SEM) showed that 30 ALE cycles redeposited material on the feature sidewalls, increasing the sidewall thickness to 34 nm at the bottom of the patterned feature and 21 nm near the top. The etch mechanism, particularly the volatile Al product, was investigated by comparing the etch rates of Ni, Ni3Al, NiAl, and Al films. Ni etched at a rate of 1.3 nm/cycle1 and Ni3Al at a rate of 1.0 nm/cycle, while NiAl and Al were not etched by this ALE process. Because films with high Al content did not etch, Ni clearly plays a role in the removal of Al. Possible volatile etch products of Al therefore include a dimeric complex containing both a Ni and Al atom, or trimethylaluminum from Al reacting with CH3 formed by Ni-catalyzed hydrogenation of formic acid. Finally, the etch rate of blanket Ru films was determined to be 0.5 nm/cycle, demonstrating a 2:1 selectivity between Ni3Al and Ru—a major advance toward integration of Ni3Al in EUV masks.

1T.G. Smith, A.M. Ali, J.F. de Marneffe, J.P. Chang, JVST A 42, 022602 (2024).

6:00 PM AP+PS+TF-WeA-16 Atomic Layer Etching for Vertical Trench Control and Electrical Optimization in HDLK Materials
Sanghyun Lee, Keun Hee Bai (Samsung Electronics)

As device scaling continues, it becomes increasingly challenging to enhance device performance. In order to improve device performance, reducing resistance and capacitance in the BEOL (Back-End of Line) is especially important. Among various methods, minimizing damage to low-k dielectric materials during patterning processes has become a key challenge in BEOL integration. In this work, we suggest using Atomic Layer Etching (ALE) to overcome this problem, along with the selection of suitable low-k materials. ALE enhances controllability over surface reactions and profile formation by utilizing low ion energy, which enables the achievement of vertical profiles while simultaneously minimizing Plasma-Induced Damage (PID). The proposed ALE process utilizes a fluorocarbon-based surface modification step (C₄F₈), followed by a low-energy O₂ plasma step for selective carbon removal. This cyclic approach enables atomic-scale material removal with minimal physical damage, significantly reducing ion bombardment effects. To evaluate the effect of ALE on different low-k materials, we tested various High-Density Low-k (HDLK) samples with differences in k-value, modulus, and carbon composition. As a result, both low-k damage and vertical trench profile integrity were substantially improved, with smoother sidewalls and better verticality observed. The process performance was evaluated through detailed compositional analysis (XPS, EDX), PID characterization, and electrical measurements. The results confirmed that the proposed ALE method effectively reduced damage to low-k materials while enhancing profile control. Consequently, it demonstrates strong potential as a next-generation patterning solution for advanced BEOL integration.

Session Abstract Book
(407 KB, Jun 15, 2025)
Time Period WeA Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule