AVS 71 Session AP+PS+TF-WeM: Thermal and Plasma-Enhanced Atomic Layer Deposition

Wednesday, September 24, 2025 8:00 AM in Room 206 A W
Wednesday Morning

Session Abstract Book
(383 KB, Jun 15, 2025)
Time Period WeM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule

Start Invited? Item
8:00 AM AP+PS+TF-WeM-1 High-Temperature Thermal ALD of SiO2 Using Chlorosilane and Aminosilane Precursors: A Comparative Study
Okhyeon Kim, Tanzia Chowdhury, Changgyu Kim, Hye-Lee Kim (Sejong University); Jae-Seok An, Jung Woo Park (Hansol Chemical Co., Ltd.); Won-Jun Lee (Sejong University)
As the number of layers in three-dimensional vertical NAND memory devices continues to increase, the conformal deposition of high-quality SiO2 films in high-aspect-ratio (HAR) structures at high temperatures becomes increasingly critical. Atomic layer deposition (ALD) is the ideal technology for this application, offering atomic-level thickness control and excellent conformality. However, most existing studies on SiO2 ALD have focused on low-temperature plasma-enhanced ALD processes, which are inadequate for producing high-quality films in HAR structures. In contrast, high-temperature thermal ALD of SiO2 films remains underexplored. In this work, we investigated high-temperature (>600 oC) thermal ALD of SiO2 using chlorosilane and aminosilane as Si precursors and compared the performance of silicon precursors. Density functional theory (DFT) calculations were first performed to evaluate the precursors based on their thermal stability. Next, the maximum ALD temperature was determined experimentally based on self-limiting behavior and confirmed by step coverage analysis in HAR patterns. Film composition and impurity levels were analyzed by X-ray photoelectron spectroscopy and dynamic secondary ion mass spectroscopy. Stoichiometric SiO2 films were deposited using both chlorosilane and aminosilane precursors, but pure ALD processes were possible at higher temperatures with chlorosilane precursors due to their better thermal stability. Chlorosilane precursors also resulted in lower impurity levels in the film due to their simpler molecular structures, which is consistent with the better electrical properties and wet etch resistance observed. This study combines theoretical and experimental results to provide a basis for advancing high-temperature thermal ALD processes of SiO2 and related materials.
8:15 AM AP+PS+TF-WeM-2 Catalyzed Molecular Layer Deposition of Methylene-Bridged Silicon Oxycarbide and the Effect of Annealing on Molecular Structure and Electrical Properties
Man Hou Vong, Seoyeon Kim, Michael Dickey, Gregory Parsons (North Carolina State University)

Silicon oxycarbide (SiOC-H) is a low-k dielectric material capable of minimizing parasitic capacitance between interconnects, thereby lowering the signal delay. As feature nodes in integrated circuits continue to shrink, deposition processes that offer precise control over film thickness and conformity are increasingly critical. Molecular layer deposition (MLD), a vapor deposition technique that deposits molecular layers via self-limiting surface reactions driven by sequential reactant exposure, offers a promising route to meet these demands. Previous studies have demonstrated the feasibility of MLD for methylene-bridged (Si-CH2-Si) SiOC-H using bis(trichlorosilyl)methane (BTCSM) as the precursor and water as the oxidant at moderate temperatures (< 100°C). However, the reported growth rate was limited despite the high reactant exposure. We hypothesize that the limited growth arises from the inefficient direct reaction between the Si-Cl on BTCSM and the Si-OH on the substrate surface. Herein, we introduce a catalyst to overcome the growth limitation in SiOC-H MLD using BTCSM and water. The results show that incorporating catalyst in MLD of SiOC-H at 50°C increases the growth rate by more than ten times under identical reactant exposure. Furthermore, upon annealing at temperatures from 250°C to 550°C, the Si-CH2-Si bridges undergo a transformation into terminal methyl groups (Si-CH3) via reaction with adjacent Si-OH groups. This transformation increases steric hinderance within the film compared to methylene bridges, reducing the film density and ultimately lowering the permittivity of the films. Overall, the findings in this work provide insights into the role of the catalyst in SiOC-H MLD and highlight its potential for enhancing deposition efficiency for scalable manufacturing in advanced microelectronics fabrication.

8:30 AM AP+PS+TF-WeM-3 The Effect of Precursor Choice and Process Temperature on the Properties of ALD Films
Theodosia Gougousi, Nimarta Chowdhary (UMBC)

Precursor choice and process temperature play a critical role in determining the properties of thin films deposited by Atomic Layer Deposition (ALD). In this study, we examine the impact of deposition temperature on the properties of ALD metal oxide films grown using amide-based precursors: tetrakis dimethyl amino titanium (TDMAT) and tetrakis dimethyl amino hafnium (TDMAHf) with water as the oxidizer.

We observe distinct differences between the two precursors. For the Ti process, we find a significant influence of temperature on phase formation and nitrogen incorporation into the films. Films deposited at 100°C crystallize in the anatase phase after inert annealing, while those deposited between 150–300°C transition to the rutile phase. At 350°C, films exhibit mixed phases that vary with thickness. Additionally, films deposited at temperatures above 200°C incorporate oxynitride bonding, significantly affecting both their linear and nonlinear optical properties and electrical conductivity. These variations are most pronounced between 200 and 275°C, a temperature range commonly considered within the "ALD window" for this process.

In contrast, for the Hf process, we do not observe any nitrogen incorporation in the films even at 400℃ and the optical and electrical properties of the films are consistent across deposition temperatures. Our findings reveal previously unreported reaction pathways that significantly influence the optical and insulating properties of TiO2 ALD films. Furthermore, we highlight significant differences in the behavior of precursors from the same family emphasizing that extrapolating properties from one materials system to another can be misleading.

This study provides significant insights into the temperature-dependent behavior of ALD-grown TiO2 and HfO2 films, highlighting previously unreported reaction pathways. These findings offer valuable guidance for optimizing film properties in optoelectronic applications and underscore the importance of precise precursor selection in ALD processes.

8:45 AM AP+PS+TF-WeM-4 Microwave Enhanced Atomic Layer Deposition (MW-ALD) of HfO2
Jessica Haglund, John Conley Jr. (Oregon State University)

Though beneficial for many applications, the low temperatures typical of ALD can result in residual impurities from unreacted precursors. This can lead to degraded electrical, physical, and optical properties. To improve film quality, post deposition annealing (PDA) can be used. However, the high temperatures necessary for PDAs can exceed thermal budgets, especially in back end of line processing. It has been demonstrated that post-deposition microwave annealing can improve film quality and result in lower process temperature.1 An alternate way to improve film quality is energy enhanced ALD (EE-ALD), in which energy is added during the ALD cycles. Previously, in-situ rapid thermal anneal, plasma, and UV treatments have been added to ALD cycles to drive impurities from films during deposition.2-6 We have recently introduced in-situ microwave enhanced ALD (MW-ALD) using Al2O3.8 Here we discuss low temperature MW-ALD of HfO2.

A custom MKS microwave generator and helical antenna were integrated into a Picosun R200. HfO2 was deposited at 150 °C using 100 TEMA-Hf/N2/H2O/N2 ALD cycles of 1/120/0.2/120 sec. A 30 s 400 W microwave (MW) pulse (without plasma generation) was used during either the TEMA-Hf or the H2O purge. Film thickness and refractive index were analyzed using a mapping Film Sense FS-1 ellipsometer. MW pulses during the H2O purge had minimal impact on film thickness and refractive index. However, the same MW pulse during the TEMA-Hf purge resulted in a ~50% increase in thickness and an increase in refractive index. This is consistent with our work on MW-ALD of Al2O3 which found an increase in film quality when the pulse was applied during the TMA pulse as compared to the water pulse.8 Additional electrical data will be presented as well as results for depositions at 250 °C.

  1. Kang et al. J. Nanosci & Nanotech. 19, 6232 (2019).
  2. Conley, Jr. et al., Appl. Phys. Lett. 84, 1913 (2004).
  3. Conley, Jr. et al., MRS Proc. Vol. 811, 5 (2004).
  4. No et al., J. ECS 153, F87 (2006).
  5. Clark et al., ECS Trans. 41(2), 79 (2011).
  6. Miikkulainen et al., ECS Trans. 80(3), 49 (2017).
  7. Holden et al. J. Vac. Sci. Tech. A. 40, 040401 (2022).
  8. Ueda et al., Appl. Surf. Sci. 554, 149656 (2021).
  9. Liu and Chang. J. Chem. Phys. 116, (2002).
  10. Becher et al., Adv. Eng. Mater. 2300677 (2023).
  11. Kupp et al., ALD 2024, Helsinki, AVS 2024 Tampa, submitted to JVSTA.
9:00 AM AP+PS+TF-WeM-5 In Situ Studies of Ald Hf0.5Zr0.5O2 by Spectroscopic Ellipsometry and Reflection Absorption Infrared Spectroscopy
Stijn van der Heijden, Alex Neefs, Erwin Kessels, Bart Macco (Eindhoven University of Technology)

Ferroelectric Hf₀.₅Zr₀.₅O₂ (HZO) is widely recognized as a leading material for next-generation non-volatile memory technologies, offering excellent scalability and seamless integration with CMOS processing. We have developed an atomic layer deposition (ALD) process for HZO using metalorganic precursors—HfCp(NMe2)3 and ZrCp(NMe2)3 —in combination with ozone as the oxygen source. This process enables controlled deposition with precise Hf:Zr stoichiometry and uniform film growth under optimized conditions.

In situ spectroscopic ellipsometry (SE) on an Oxford Instruments FlexAL was used extensively during process development to monitor film growth in real time and to extract growth-per-cycle data. Additionally, full TiN/HZO/TiN capacitor stacks were fabricated in a single ALD sequence within the same reactor, allowing us to track film evolution throughout the stack formation. This provided detailed insight into the nucleation behavior and the formation of interfacial layers.

To gain a deeper understanding of the surface chemistry, we employed in situ reflection absorption infrared spectroscopy (RAIRS) using a home-built reactor. The RAIRS analysis revealed that formate groups, generated during the ozone pulse, act as active surface sites for precursor adsorption in both the HfCp(NMe2)3 and ZrCp(NMe2)3 processes.

Finally, we correlate the findings from SE and RAIRS with the electrical performance of the TiN/HZO/TiN capacitors, offering an integrated view of how surface chemistry and film nucleation influence ferroelectric behavior.

9:15 AM AP+PS+TF-WeM-6 Thermal Stability of HfO2 by Incorporating Al2O3 in a MIM Capacitor by 200 mm Batch-ALD
Partha Mukhopadhyay (Tokyo Electron America); Ivan Fletcher, Zuriel Caribe, Anton deVilliers, Jim Fulford (Tokyo Electron America, USA)
This work investigates the thermal stability of HfO2-Al2O3 laminated high-k dielectrics deposited by the high-volume batch atomic layer deposition (ALD) method. At higher crystallization temperatures HfO2 converts from amorphous to polycrystalline and induces nonuniformity in film thickness. The incorporation of Al2O3 into the HfO2 film forms an HfAlO alloy which presents excellent thermal stability compared to pure HfO2 when annealed at 650°C. Cross-sectional TEM, SIMS and XPS profiles demonstrate the interfacial reaction of these ultra-thin layers where the core-level energy states, Hf4f and Al2p peaks showed a shift to higher binding energy from those of pure HfO2 upon Al2O3 incorporation (Fig. S2). It is mainly because the Al covalence changes the bonding characteristics and HfO2 becomes more ionic, therefore, the dissociation of the alloyed film is effectively suppressed compared to a pure HfO2 film, indicating an enhanced thermal stability of HfAlO. The fabricated MIM capacitor of low Al-content Hf0.69Al0.31O alloy exhibits a higher capacitance density (CpD) of 12.46 fF/μm2, ~29% better than HfO2 and dielectric constant of κ>22 than HfO2. The present research indicates a small amount of Al (0.31) incorporation in HfO2 extends its quantization temperature due to stabilizing its crystal phase by reducing oxygen vacancies and traps. It remarkably improved electrical characteristics under thermal stress compared to broken-down HfO2 capacitors under annealing (Fig. S3). While a higher Al content Hf0.44Al0.56O alloy shows excellent thermal stability while possessing 68% higher κ than an Al2O3 capacitor. It also demonstrated the highest breakdown voltage (EBV) of 8 MV/cm and low leakage among the samples. After annealing the degradation of EBV of the HfO2 capacitor is nearly 94% while the HfAl0.31O capacitor faces only 19% (Fig S4). These thin multilayer alloys show excellent relative capacitance variation over the voltage with high CpD, κ-value, low leakage of 10 nA/cm2@3MV/cm, suitable for higher thermal budget BEOL, and interposer process integration for various high bandwidth RF and low-cost memory applications with smaller chip area. View Supplemental Document (pdf)
9:30 AM AP+PS+TF-WeM-7 Highly Crystalline ZrO2 Films under 2 nm by Atomic Layer Modulation
Wonjoong Kim, Ngoc Le Trinh, Bonwook Gu (incheon National University); Byungha Kwak (Ajou University); Hyunmi Kim, Hyeongkeun Kim (Korea Electronics Technology Institute); Youngho Kang (incheon National University); Il kwon Oh (ajou University); Han-Bo-Ram Lee (Incheon National University)

As the dimensions of silicon-based devices continue to shrink, achieving both high capacitance and low leakage current becomes increasingly challenging. In particular, the corresponding reduction in thin film thickness makes it difficult to preserve critical physical properties, including crystallinity, thermal stability, and electrical performance. In this work, we investigated yttrium-doped zirconium oxide (YZO) thin films fabricated using atomic layer modulation (ALM), a technique based on atomic layer deposition (ALD). In the ALM process, the surface is sequentially exposed to two precursors with an intervening purging step between each exposure, followed by a reaction with a counter-reactant, resulting in the growth of the YZO film within a single atomic layer. The ratio of Y to Zr in the ALM film is determined by the steric hindrance and chemical reactivity of the precursors with the surface. To design and interpret the experimental process, two theoretical approaches—density functional theory (DFT) and Monte Carlo (MC) simulations—were employed to examine the precursor interactions and their impact on film composition. In ALM films, Y atoms are located closer to Zr atoms, leading to the formation of Y–O–Zr bonds in both the lateral and vertical directions within several atomic layers. Consequently, the ALM film requires a lower energy barrier for diffusion to form the YZO crystalline phase, which enhances film density and improves crystallinity. As a result, YZO films deposited via the ALM process exhibit approximately 250 times lower leakage current density compared to the conventional YZO films fabricated using the ALD under a thickness of 2 nm. This key finding highlights that YZO films prepared by ALM achieve both an increased dielectric constant and reduced leakage current density at low thicknesses, demonstrating their potential as promising materials for future silicon device applications.

9:45 AM AP+PS+TF-WeM-8 Influence of Molecular Structure on Ruthenium Deposition: An in Situ Study Using Simultaneous Spectroscopic Ellipsometry and Quadrupole Mass Spectrometry
Terrick McNealy-James (University of Central Florida); Xin Kang (University of Florida, Gainesville); Luis Tomar (University of Central Florida); Johnathon Johnson (University of Florida, Gainesville); Novia Berriel, Taylor Currie, Titel Jurca (University of Central Florida); Lisa McElwee-White (University of Florida, Gainesville); Parag Banerjee (University of Central Florida)

Ruthenium (Ru)with its low bulk resistivity and high work function has emerged as a promising metal for future interconnect technology. Numerous Ru complexes with different ligands have been studied to refine chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes and improve film structure, property and performance. These include molecules such as, bis(cyclopentadienyl)ruthenium [RuCp2],tris(2,2,6,6-tetramethyl-3,5-heptanedionato)- ruthenium [Ru(thd)3] and η4-2,3-dimethylbutadiene ruthenium tricarbonyl [Ru(DMBD)(CO)3].1-3

Here we investigate the ALD process characteristics of Ru thin films from (η4-diene)Ru(CO)3 complexes and resulting film properties. Three molecules are chosen i) η4-isopreneruthenium tricarbonyl, ii) (η4-1,3-butadiene)ruthenium tricarbonyl and iii) (η4-1,3-cyclohexadiene)ruthenium tricarbonyl; with the rationale of studying the effect of changes to the ligand motif on the film growth characteristics and resulting properties. Furthermore, by employing simultaneous in situ spectroscopic ellipsometry (SE) and quadrupole mass spectrometry (QMS), we disambiguate the physical growth mechanisms and chemical reactions occurring at the substrate surface. The resulting Ru film properties are analyzed ex situ using x-ray photoelectron spectroscopy (XPS), x-ray diffraction (XRD) and four-point probe resistivity measurements.

Our in situ SE measurements show that, in all cases, deposition occurs for temperatures ≥ 160 °C. No self-saturation in growth behavior is observed. This aligns with QMS data which suggests that all Ru complexes undergo spontaneous dissociation reaction on the substrate surface. The water half-reaction plays no relevant role in promoting deposition. XPS and XRD analyses reveal that all films consist of a Ru/RuOx mixture in line with high film resistivity. These results highlight the limited role of ligands in controlling the ALD / CVD film growth characteristics of diene-Ru(CO)3 complexes with H2O as a co-reactant.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM AP+PS+TF-WeM-13 Study on the Thermal Decomposition Behavior of Mo(Co)6 as a Precursor for Mo-ALD
Soken Obara (The University of Tokyo, Japan); Souga Nagai (The University of Tokyo); Jun Yamaguchi, Noboru Sato, Naoki Tamaoki, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo, Japan)

As miniaturization advances in state-of-the-art semiconductor devices, interconnect resistance becomes increasingly problematic. Atomic layer deposition (ALD) of molybdenum (Mo) is gaining attention as a potential next-generation interconnect technology to replace conventional Cu and W. Although Mo precursors such as MoCl5 and MoO2Cl2 are commonly used, they present significant drawbacks, including the need for high processing temperatures (~600 °C) and the presence of halogens. In this study, we investigated the thermal decomposition and adsorption behavior of Mo(CO)6, a halogen-free precursor capable of deposition at lower temperatures, to evaluate its suitability for Mo-ALD.

Using an ALD system equipped with a bubbling delivery mechanism, we deposited Mo films on Si substrates with 100 nm thermal oxide at temperatures ranging from 130 to 175 °C, using Mo(CO)6 and NH3 as precursor and reactant, respectively. Figure 1 shows the ALD process sequence and growth-per-cycle (GPC) as a function of temperature, revealing a steep increase in GPC above 150 °C. As shown in Fig. 2, the precursor pulse time dependence at 145 °C deviates from the ideal ALD self-limiting behavior, indicating a CVD-like growth mechanism.

To investigate the thermal decomposition characteristics of Mo(CO)6, film deposition cycles were performed at 175 °C using only Mo(CO)6 and purge gas, without NH3. As shown in Fig. 3, film formation was observed with a 4-second purge, diminished with an 8-second purge, and disappeared completely with a 14-second purge. This suggests that physisorbed species were gradually removed by purge, thereby suppressing film formation. To determine whether chemisorbed species remained on the surface, a subsequent ALD process with NH3 was performed after an 8-second purge. As shown in Fig. 4, Mo film growth was observed in the downstream region, suggesting that chemisorption persisted even after the longer purge duration.

Step coverage results are shown in Fig. 5. Under ALD conditions at 145 °C and 175 °C with a 4-second purge, step coverage was 100% and 91%, respectively. However, under the 175 °C condition with an 8-second purge, the step coverage exceeded 165%, indicating thicker deposition at the bottom. This result is attributed to residual physisorbed species accumulating at the feature bottom, leading to enhanced local film growth. These findings demonstrate that bottom-up filling can be achieved by tuning the purge time of the precursor.

View Supplemental Document (pdf)
11:15 AM AP+PS+TF-WeM-14 Nucleation Enhancement and Growth Modification in Co-ALD via Pd activation
Yubin Deng, Souga Nagai, Jun Yamaguchi, Yuhei Otaka, Noboru Sato, Naoki Tamaoki, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo, Japan)

With the continued downscaling of ULSI technologies to the 3 nm node, Cu interconnects demand increasingly thinner liner/barrier layers that can ensure reliable performance under aggressive miniaturization. Previous studies have demonstrated that 1-nm-thick Co(W) films exhibit excellent Cu diffusion barrier properties [1]. However, the critical challenge remains achieving ultrathin, continuous films with precise thickness control. In this context, ALD is considered the most promising technique, offering conformal and selective growth suitable for high-aspect-ratio structures. Importantly, fabricating thinner films via ALD requires higher nucleation densities, which can be promoted by Pd activation. The catalytic properties of Pd enhance precursor adsorption and subsequent surface reactions, thereby improving nucleation. In this study, we systematically investigated the impact of Pd activation on the nucleation behavior and morphological evolution of ALD-Co films.

All samples were prepared on Si substrates with a 300-nm-thick thermally grown SiO2 layer and were cleaned using ethanol and APM. Two Pd activation methods were employed. The conventional wet method involved immersion in a colloidal Sn/Pd solution (0.6 mM PdCl2, 30 mM SnCl2, 0.35 M HCl) at 40 °C for 5 min (Fig. 1), followed by a 3 min rinse in 1 M HCl to remove residual Pd and byproducts, and subsequent drying. Alternatively, Pd activation was performed using ALD (Fig. 2) at 200 °C for 400 cycles, employing palladium(II) hexafluoroacetylacetonate (Pd(hfac)2) as the precursor and aqueous formalin (HCHO) as the reducing agent, with N2 as the carrier and purge gas. Following Pd activation, Co films were deposited via ALD at 150 °C for 500 cycles (Fig. 3), using dicobalt hexacarbonyl tert-butylacetylene (CCTBA) and H2 as the precursor and reactant, respectively.

In the wet method, Pd loading was controlled by varying solution concentration and activation time. While in Pd-ALD, it was precisely adjusted by tuning the precursor pulse count per cycle (supply time). As shown in Fig. 4(a), the wet method failed to deposit sufficient Pd on thermal SiO2, even with extended activation (50 min) and highly concentrated solutions (20×). In contrast, Pd-ALD enabled fine control over the Pd amount, as shown in Fig. 4(b). Figure 5 presents the effects of Pd loading on Co nucleation and morphology. Increased Pd loading resulted in smaller and denser Co nuclei (~9 nm, ~1.1 × 1012 cm-2) and enhanced Co deposition. To achieve uniform 1 nm-thick Co films, further optimization of the Pd-ALD process is necessary to reach the target nucleation density (~1014 cm-2).

References

[1] Y. Deng, et al., International Interconnect Technology Conference (IITC), 3.2, San Jose, CA, June 2024.

View Supplemental Document (pdf)
11:30 AM AP+PS+TF-WeM-15 Process-Structure-Properties of Atomic Layer Deposited Niobium Nitride and Evolution of Strain with Plasma Chemistry
Neeraj Nepal, Joseph Prestigiacomo, Maria G Sales, Peter M Litwin, Vikrant J Gokhale, Virginia D Wheeler (Naval Research Laboratory)

Niobium nitride (NbN) has exceptional physical, chemical, and electrical properties that can be utilized in a range of applications such as gate metal, superconducting qubits and detectors (Tc ~9-17 K [1]), RF antennas, resonators, and Cu interconnect diffusion barriers. For all these applications, a low temperature growth process with wafer scale uniformity, conformality, and subatomic thickness control is highly desirable. Atomic layer deposition (ALD) provides a path towards integration of NbN at lower temperatures with control over the desired properties. Most reported thin plasma-enhanced ALD (PEALD) NbN films [2-3] to date are either amorphous or polycrystalline. In this talk, we report on highly oriented single phase, PEALD NbN (111) films and discuss the evolution of strain with plasma chemistry.

ALD NbN films were deposited on resistive Si and c-sapphire in a Veeco Fiji Gen2 ALD reactor using (t-butylimido)tris(diethylamido)niobium(V) (TBTDEN) and N2/H2 plasma precursors. Similar to previous reports [2], TBTDEN required a boost to enable growth. Growth windows and film morphological, structural, and electrical properties were optimized for TBTDEN temperature (80-100°C), TBTDEN boost (1-2s), TBTDEN pulse (1.5-2.0s), plasma pulse (20-30s), H2/N2 ratio (1.5-12.5), and temperature (150-400 °C). Optimum growth parameters (TBTDEN = 100°C, TBTDEN boost = 1.5s, TBTDEN pulse = 2s, and H2/N2 = 60/20sccm) yielded an ALD window from 250-300°C with a growth rate (GR) of ~ 0.5A/cy. While GR was almost constant for N2 ≥ 20 sccm, room temperature resistivity (ρRT) increased linearly with N2 flow. High-resolution XRD scans show 1st and 2nd order (111) NbN peaks. Lattice constants obtained from XRD show that strain changes from compressive to tensile with increasing N2 flow, in which an N2 flow of 20 sccm provided an almost strain-free film. The compressively strained 12.6 nm thick film at 5 sccm N2 resulted in lower ρRT (~139µΩcm) and superconducting critical temperature (Tc~12.26K). Measured Tc is similar or higher than reported Tc (12.10K) of 15nm thick ALD NbN films [3]. For an optimized 30nm thick film, carbon is below the XPS detection limit, RMS surface roughness is 0.52nm, and rocking curve FWHM is 0.69°, which is narrower than previously reported for 30 nm thick films [3]. Tc on all those films were also measured to establish process-structure-property relationships, and results will be discussed in the context of use in quantum and high temperature contact applications.

References

  1. Kalal et al., J. of Alloys Compd. 851, 155925 (2021).
  2. Sowa et al., J. Vac. Sci. Technol. A 35, 01B143 (2017).
  3. Lennon et al., Mater. Quantum Technol. 3, 045401 (2023).
11:45 AM AP+PS+TF-WeM-16 Thin Film Property Modification via Electric Field-Modulated Atomic Layer Deposition
Jessica Jones, Shi Li, Francisco Lagunas Vargas, Zachary Hood (Argonne National Laboratory)

Thin, conformal film growth via atomic layer deposition (ALD) is broadly used in microelectronics, photovoltaics, and other industries. Enhanced thin film properties are required to advance device performance.Electric fields affect gas phase molecules, and adsorption behavior, but have not been extensively investigated for direction of thin properties.Static electric fields are generated and maintained in situ inside an ALD reactor resulting in modification of crystallinity and chemical composition. Thicknesses were determined via spectroscopic ellipsometry, uniformity was investigated by atomic force microscopy, crystallinity by x-ray diffraction and (scanning) transmission electron microscopy (S)TEM, and chemical composition by x-ray photoelectron spectroscopy. These systems are computationally investigated to probe the mechanism by which the ALD processes are enhanced.

Acknowledgements: This material is based upon work supported by Laboratory Directed Research and Development (LDRD) funding from Argonne National Laboratory, provided by the Director, Office of Science, of the U.S. Department of Energy under Contract No. DE-AC02-06CH11357. Work performed at the Center for Nanoscale Materials, a U.S. Department of Energy Office of Science User Facility, was supported by the U.S. DOE, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357.

12:00 PM AP+PS+TF-WeM-17 Thin Conductive Cu Films by In-Situ Plasma Post-Reduction of Atomic Layer Deposited CuO
Maria Sales, Neeraj Nepal, Peter Litwin, David Boris, Scott Walton, Virginia Wheeler (Naval Research Laboratory)

Interconnect applications in microelectronics has helped spur the need to develop robust and scalable atomic layer deposition (ALD) processes for copper (Cu). For this application space, the unique advantage of ALD is being able to conformally coat via structures with high aspect ratios due to the self-saturating nature and precise thickness control. Reported ALD recipes for pure Cu typically rely on reactions between a metal-organic Cu precursor and either a thermal or plasma reducing reactant. However, these conventional ALD Cu processes have very low growth rates. Like other metal ALD recipes, ALD Cu typically requires thicknesses of at least 20-40 nm to achieve a fully coalesced, conductive film. Thus, limiting these process in applications where ultrathin highly conductive layers are required.

In this work, we report on an alternative way to obtain conductive Cu thin films by combining CuO with a higher growth rate and faster coalescence with an in-situ plasma reduction. Initially, copper (II) oxide, or CuO, is deposited by PEALD at a substrate temperature of 150 °C, using copper(I)-N,N’-di-sec-butylacetamidinate ([Cu(sBu-amd)]2) and Ar/O2 plasma as precursors. The growth rate for this CuO recipe is 0.3 Å/cycle, which is higher than what is obtained for pure Cu using the same precursor (0.1 Å/cycle). Grown CuO films have a low concentration of incorporated ligands and a smooth surface morphology. Following a fixed number of CuO ALD cycles, the CuO film is then exposed to in-situ reducing Ar/H2 plasma pulses. To characterize the resulting films, spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and contactless sheet resistance measurements were performed.

Various parameters during the Ar/H2 reducing plasma, such as total exposure time, pulse lengths, and number of reducing plasma cycles, were investigated and effect on key properties of the resultant Cu film, such as chemistry, morphology, and resistivity will be discussed. Additionally, we report on utilizing supercycles of CuO ALD and reducing plasma pulses to grow thicker (30 nm) Cu films with low resistivity. To date, our most optimal CuO-then-post-reduction procedure yielded a 30 nm Cu film with a root mean square (RMS) roughness of 3.3-3.5 nm and a resistivity of 3.8 µΩ cm, which is only a factor of 2 greater than for bulk Cu.

Session Abstract Book
(383 KB, Jun 15, 2025)
Time Period WeM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 71 Schedule